Welcome to the NetCologne GmbH open source mirroring service!

This machine mirrors various open-source projects. 20 Gbit/s uplink.

If there are any issues or you want another project mirrored, please contact mirror-service -=AT=- netcologne DOT de !

Index of /alpine/edge/testing/x86/

Index of /alpine/edge/testing/x86/


../
3proxy-0.9.5-r1.apk                                17-Nov-2025 13:00    417K
3proxy-doc-0.9.5-r1.apk                            17-Nov-2025 13:00     26K
3proxy-openrc-0.9.5-r1.apk                         17-Nov-2025 13:00    1733
3proxy-systemd-0.9.5-r1.apk                        17-Nov-2025 13:00    1891
66-0.8.2.1-r0.apk                                  03-Jun-2025 19:11    279K
66-dbg-0.8.2.1-r0.apk                              03-Jun-2025 19:11    701K
66-dev-0.8.2.1-r0.apk                              03-Jun-2025 19:11      2M
66-doc-0.8.2.1-r0.apk                              03-Jun-2025 19:11    190K
66-init-0.8.2.1-r0.apk                             03-Jun-2025 19:11    1575
66-tools-0.1.2.0-r0.apk                            01-Jun-2025 16:07     53K
66-tools-dbg-0.1.2.0-r0.apk                        01-Jun-2025 16:07    103K
66-tools-dev-0.1.2.0-r0.apk                        01-Jun-2025 16:07    2052
66-tools-doc-0.1.2.0-r0.apk                        01-Jun-2025 16:07     39K
66-tools-nsrules-0.1.2.0-r0.apk                    01-Jun-2025 16:07    2925
81voltd-1.1.0-r0.apk                               11-Jan-2026 18:16     13K
81voltd-doc-1.1.0-r0.apk                           11-Jan-2026 18:16    2296
81voltd-openrc-1.1.0-r0.apk                        11-Jan-2026 18:16    1782
81voltd-systemd-1.1.0-r0.apk                       11-Jan-2026 18:16    1786
9base-6-r2.apk                                     25-Oct-2024 20:10      2M
9base-doc-6-r2.apk                                 25-Oct-2024 20:10     64K
9base-troff-6-r2.apk                               25-Oct-2024 20:10    487K
APKINDEX.tar.gz                                    11-Jan-2026 22:38    725K
a2jmidid-9-r3.apk                                  25-Oct-2024 20:10     31K
a2jmidid-doc-9-r3.apk                              25-Oct-2024 20:10    4288
abc-0_git20240102-r0.apk                           25-Oct-2024 20:10      5M
abnfgen-0.21-r0.apk                                27-May-2025 21:26     18K
abnfgen-doc-0.21-r0.apk                            27-May-2025 21:26    5196
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 20:10    156K
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 20:10     49K
acmeleaf-0.2.0-r1.apk                              04-Dec-2025 14:22      5M
acmeleaf-doc-0.2.0-r1.apk                          04-Dec-2025 14:22    5420
acmetool-0.2.2-r18.apk                             04-Dec-2025 14:22      5M
acmetool-doc-0.2.2-r18.apk                         04-Dec-2025 14:22     47K
adbd-0_git20251009-r0.apk                          08-Jan-2026 12:08     46K
adguardhome-0.107.71-r0.apk                        13-Dec-2025 16:25     12M
adguardhome-openrc-0.107.71-r0.apk                 13-Dec-2025 16:25    2247
adjtimex-1.29-r0.apk                               25-Oct-2024 20:10     21K
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 20:10    7258
admesh-0.98.5-r0.apk                               25-Oct-2024 20:10     27K
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 20:10    4140
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 20:10     23K
advancemame-3.9-r4.apk                             25-Oct-2024 20:10     12M
advancemame-data-3.9-r4.apk                        25-Oct-2024 20:10      6M
advancemame-doc-3.9-r4.apk                         25-Oct-2024 20:10    374K
advancemame-menu-3.9-r4.apk                        25-Oct-2024 20:10    953K
advancemame-mess-3.9-r4.apk                        25-Oct-2024 20:10      4M
advancescan-1.18-r1.apk                            25-Oct-2024 20:10    284K
advancescan-doc-1.18-r1.apk                        25-Oct-2024 20:10    7442
afetch-2.2.0-r1.apk                                25-Oct-2024 20:10    9542
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 20:10     14K
afew-3.0.1-r0.apk                                  18-May-2025 22:30     73K
afew-doc-3.0.1-r0.apk                              18-May-2025 22:30     12K
agate-3.3.19-r0.apk                                23-Sep-2025 21:45    732K
agate-openrc-3.3.19-r0.apk                         23-Sep-2025 21:45    2006
aggregate6-1.0.14-r0.apk                           14-Oct-2025 11:05    7431
aggregate6-doc-1.0.14-r0.apk                       14-Oct-2025 11:05    3003
aggregate6-pyc-1.0.14-r0.apk                       14-Oct-2025 11:05    5951
agrep-0.8.0-r2.apk                                 25-Oct-2024 20:10    8264
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 20:10    4210
aide-0.19.2-r0.apk                                 06-Sep-2025 20:30     84K
aide-doc-0.19.2-r0.apk                             06-Sep-2025 20:30     16K
alacritty-graphics-0.16.1-r0.apk                   18-Dec-2025 00:03      2M
alacritty-graphics-bash-completion-0.16.1-r0.apk   18-Dec-2025 00:03    2834
alacritty-graphics-doc-0.16.1-r0.apk               18-Dec-2025 00:03     41K
alacritty-graphics-fish-completion-0.16.1-r0.apk   18-Dec-2025 00:03    3151
alacritty-graphics-zsh-completion-0.16.1-r0.apk    18-Dec-2025 00:03    3455
alertmanager-irc-relay-0.5.1-r1.apk                06-Sep-2025 20:30      5M
alertmanager-irc-relay-openrc-0.5.1-r1.apk         06-Sep-2025 20:30    2037
alpine-lift-0.2.0-r28.apk                          04-Dec-2025 14:22      4M
alps-0_git20230807-r17.apk                         04-Dec-2025 14:22      6M
alps-openrc-0_git20230807-r17.apk                  04-Dec-2025 14:22    2064
alttab-1.7.1-r0.apk                                25-Oct-2024 20:10     37K
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 20:10     10K
amber-0.5.1_alpha-r0.apk                           08-Jan-2026 14:05    880K
amber-mpris-1.2.9-r0.apk                           22-Dec-2024 17:04    227K
amber-mpris-dev-1.2.9-r0.apk                       22-Dec-2024 17:04    6877
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 20:10     14K
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 20:10    9846
amdgpu_top-0.11.0-r0.apk                           03-Sep-2025 00:48      7M
amdgpu_top-doc-0.11.0-r0.apk                       03-Sep-2025 00:48    4077
amiitool-2-r2.apk                                  25-Oct-2024 20:10    8161
ampy-1.1.0-r6.apk                                  19-Mar-2025 11:45     15K
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 11:45    4195
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 11:45     19K
anarch-1.0-r1.apk                                  25-Oct-2024 20:10     97K
anarch-doc-1.0-r1.apk                              25-Oct-2024 20:10     18K
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 20:10    299K
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 20:10     59K
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 20:10    185K
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 20:10      2M
android-build-tools-15.0-r1.apk                    11-Dec-2025 22:05      2M
android-file-transfer-4.5-r0.apk                   24-Jun-2025 23:02    204K
android-file-transfer-cli-4.5-r0.apk               24-Jun-2025 23:02    122K
android-file-transfer-dev-4.5-r0.apk               24-Jun-2025 23:02    1606
android-file-transfer-libs-4.5-r0.apk              24-Jun-2025 23:02    141K
android-translation-layer-0_git20260106-r0.apk     08-Jan-2026 12:08      3M
android-translation-layer-dbg-0_git20260106-r0.apk 08-Jan-2026 12:08    824K
angband-4.2.5-r0.apk                               25-Oct-2024 20:10     23M
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 20:10     36K
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 20:10     10K
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 20:10     65K
antibody-6.1.1-r33.apk                             04-Dec-2025 14:22      2M
antimicrox-3.5.1-r0.apk                            13-Jun-2025 13:56      2M
antimicrox-doc-3.5.1-r0.apk                        13-Jun-2025 13:56     24K
aoetools-37-r2.apk                                 14-Jan-2025 16:36     23K
aoetools-doc-37-r2.apk                             14-Jan-2025 16:36     14K
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 20:10     58K
apache-mod-auth-openidc-2.4.16.11-r1.apk           22-May-2025 07:14    212K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk       22-May-2025 07:14    4554
apache-mod-auth-openidc-static-2.4.16.11-r1.apk    22-May-2025 07:14    268K
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 20:10    8516
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 20:10     10K
apache2-mod-maxminddb-1.2.0-r0.apk                 19-May-2025 10:23     11K
apache2-mod-perl-2.0.13-r2.apk                     01-Jul-2025 19:19    687K
apache2-mod-perl-dbg-2.0.13-r2.apk                 01-Jul-2025 19:19     65K
apache2-mod-perl-dev-2.0.13-r2.apk                 01-Jul-2025 19:19     38K
apache2-mod-perl-doc-2.0.13-r2.apk                 01-Jul-2025 19:19    304K
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 20:10    5172
apk-autoupdate-0_git20210421-r1.apk                18-Nov-2024 18:00     13K
apk-autoupdate-doc-0_git20210421-r1.apk            18-Nov-2024 18:00    7123
apk-snap-3.1.1-r0.apk                              25-Oct-2024 20:10    6807
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 20:10     20K
apmpkg-1.5.1-r3.apk                                25-Oct-2024 20:10      2M
apmpkg-bash-completion-1.5.1-r3.apk                25-Oct-2024 20:10    2240
apmpkg-doc-1.5.1-r3.apk                            25-Oct-2024 20:10    3124
apmpkg-fish-completion-1.5.1-r3.apk                25-Oct-2024 20:10    2117
apmpkg-zsh-completion-1.5.1-r3.apk                 25-Oct-2024 20:10    2502
aports-glmr-0.2-r33.apk                            04-Dec-2025 14:22      3M
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:55    411K
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:55    258K
appimagetool-1.9.1-r0.apk                          09-Jan-2026 09:12     39K
aprilsh-0.7.12-r10.apk                             04-Dec-2025 14:22    1678
aprilsh-client-0.7.12-r10.apk                      04-Dec-2025 14:22      3M
aprilsh-doc-0.7.12-r10.apk                         04-Dec-2025 14:22     14K
aprilsh-openrc-0.7.12-r10.apk                      04-Dec-2025 14:22    1869
aprilsh-server-0.7.12-r10.apk                      04-Dec-2025 14:22      3M
apt-dater-1.0.4-r4.apk                             25-Oct-2024 20:10     58K
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 20:10     10K
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 20:10     13K
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 20:10    9673
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 20:10    4740
apt-swarm-0.5.1-r1.apk                             12-Oct-2025 13:44      3M
apt-swarm-bash-completion-0.5.1-r1.apk             12-Oct-2025 13:44    4288
apt-swarm-fish-completion-0.5.1-r1.apk             12-Oct-2025 13:44    5880
apt-swarm-openrc-0.5.1-r1.apk                      12-Oct-2025 13:44    1999
apt-swarm-zsh-completion-0.5.1-r1.apk              12-Oct-2025 13:44    6015
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:44     87K
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:44    3505
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:44     16K
apulse-0.1.14-r0.apk                               06-Sep-2025 20:30     43K
apulse-doc-0.1.14-r0.apk                           06-Sep-2025 20:30    3018
apx-2.4.5-r5.apk                                   04-Dec-2025 14:22      4M
apx-doc-2.4.5-r5.apk                               04-Dec-2025 14:22    2466
aqemu-0.9.4-r3.apk                                 25-Oct-2024 20:10      2M
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 20:10    7699
aravis-0.8.31-r0.apk                               25-Oct-2024 20:10     46K
aravis-dev-0.8.31-r0.apk                           25-Oct-2024 20:10     34K
aravis-libs-0.8.31-r0.apk                          25-Oct-2024 20:10    189K
aravis-viewer-0.8.31-r0.apk                        25-Oct-2024 20:10     70K
aravis-viewer-lang-0.8.31-r0.apk                   25-Oct-2024 20:10     16K
arc-20221218-r0.apk                                25-Oct-2024 20:10    1790
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 20:10     68K
arc-dark-20221218-r0.apk                           25-Oct-2024 20:10    1797
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 20:10     68K
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 20:10     27K
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 20:10     38K
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 20:10     93K
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 20:10     86K
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 20:10     17K
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 20:10    8059
arc-darker-20221218-r0.apk                         25-Oct-2024 20:10    1808
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 20:10     39K
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 20:10    124K
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 20:10    110K
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 20:10     17K
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 20:10    8061
arc-gnome-20221218-r0.apk                          25-Oct-2024 20:10     29K
arc-gtk2-20221218-r0.apk                           25-Oct-2024 20:10     38K
arc-gtk3-20221218-r0.apk                           25-Oct-2024 20:10    126K
arc-gtk4-20221218-r0.apk                           25-Oct-2024 20:10    114K
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 20:10      4M
arc-lighter-20221218-r0.apk                        25-Oct-2024 20:10    1809
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 20:10     38K
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 20:10    125K
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 20:10    113K
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 20:10     17K
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 20:10    7907
arc-metacity-20221218-r0.apk                       25-Oct-2024 20:10     17K
arc-theme-20221218-r0.apk                          25-Oct-2024 20:10    1481
arc-xfwm-20221218-r0.apk                           25-Oct-2024 20:10    7879
arduino-cli-1.3.1-r2.apk                           04-Dec-2025 14:22      9M
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 20:10      2M
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 20:10     92K
art_standalone-0_git20251009-r0.apk                08-Jan-2026 12:08     19M
art_standalone-dbg-0_git20251009-r0.apk            08-Jan-2026 12:09    130M
art_standalone-dev-0_git20251009-r0.apk            08-Jan-2026 12:09      9M
asdf-0.18.0-r5.apk                                 04-Dec-2025 14:22      2M
asdf-doc-0.18.0-r5.apk                             04-Dec-2025 14:22    2302
aspell-es-1.11-r0.apk                              25-Oct-2024 20:10    533K
asymptote-3.04-r0.apk                              31-May-2025 06:53      1M
asymptote-doc-3.04-r0.apk                          31-May-2025 06:53      3M
atac-0.18.1-r0.apk                                 25-Nov-2024 21:58      5M
atlantik-3.5.10_git20240323-r0.apk                 25-Oct-2024 20:10    396K
atlantik-doc-3.5.10_git20240323-r0.apk             25-Oct-2024 20:10     79K
atlantik-lang-3.5.10_git20240323-r0.apk            25-Oct-2024 20:10     69K
atomicparsley-20240608-r0.apk                      25-Oct-2024 20:10    112K
atool-0.39.0-r4.apk                                25-Oct-2024 20:10     18K
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 20:10    2092
atool-doc-0.39.0-r4.apk                            25-Oct-2024 20:10    9836
aufs-util-20161219-r3.apk                          25-Oct-2024 20:10    189K
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 20:10    1494
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 20:10     34K
authenticator-rs-0.8.6-r0.apk                      01-Sep-2025 18:30      2M
authenticator-rs-lang-0.8.6-r0.apk                 01-Sep-2025 18:30    4160
autoconf-policy-0.1-r0.apk                         25-Oct-2024 20:10    5613
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 20:10     35K
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 20:10     12K
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 20:10     54K
autorestic-1.8.3-r10.apk                           04-Dec-2025 14:22      4M
autotrash-0.4.7-r0.apk                             25-Oct-2024 20:10     23K
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 20:10     14K
avahi2dns-0.1.0-r7.apk                             24-Dec-2025 17:05      3M
avahi2dns-openrc-0.1.0-r7.apk                      24-Dec-2025 17:05    1847
avara-0.7.1-r1.apk                                 04-Nov-2024 08:53     21M
avarice-2.14-r4.apk                                25-Oct-2024 20:10     65K
avarice-doc-2.14-r4.apk                            25-Oct-2024 20:10    9672
avida-0_git20190813-r1.apk                         25-Oct-2024 20:10      2M
avra-1.4.2-r0.apk                                  25-Oct-2024 20:10     39K
avra-dev-1.4.2-r0.apk                              25-Oct-2024 20:10    255K
aws-ecr-get-login-password-1.0.0_rc2-r1.apk        04-Dec-2025 14:22      3M
aws-ecr-get-login-password-doc-1.0.0_rc2-r1.apk    04-Dec-2025 14:22    2381
azote-1.14.0-r0.apk                                14-Dec-2024 20:38      8M
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38     98K
azpainter-3.0.11-r0.apk                            22-Feb-2025 11:10    895K
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 11:10     42K
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 20:10    756K
b2-tools-4.3.2-r0.apk                              03-May-2025 08:44     72K
b2-tools-pyc-4.3.2-r0.apk                          03-May-2025 08:44    136K
backup-manager-0.7.15-r1.apk                       25-Oct-2024 20:10     55K
bacon-3.18.0-r0.apk                                30-Sep-2025 17:38      2M
baikal-0.10.1-r1.apk                               27-May-2025 21:37      1M
baikal-mysql-0.10.1-r1.apk                         27-May-2025 21:37    1324
baikal-pgsql-0.10.1-r1.apk                         27-May-2025 21:37    1323
baikal-sqlite-0.10.1-r1.apk                        27-May-2025 21:37    1470
bake-2.5.1-r0.apk                                  25-Oct-2024 20:10    121K
bakelite-0.4.2-r0.apk                              25-Oct-2024 20:10     44K
baresip-4.1.0-r0.apk                               03-Oct-2025 07:59      1M
baresip-dev-4.1.0-r0.apk                           03-Oct-2025 07:59     16K
barman-3.16.2-r0.apk                               20-Nov-2025 09:16    378K
barman-bash-completion-3.16.2-r0.apk               20-Nov-2025 09:16    1681
barman-doc-3.16.2-r0.apk                           20-Nov-2025 09:16     89K
barman-pyc-3.16.2-r0.apk                           20-Nov-2025 09:16    595K
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 20:10    141K
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 20:10    2827
barrier-2.4.0-r2.apk                               17-Feb-2025 09:34   1013K
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 09:34     13K
bartib-1.0.1-r1.apk                                25-Oct-2024 20:10    365K
base64c-0.2.1-r0.apk                               25-Oct-2024 20:10    4543
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 20:10    5584
bash-pinyin-completion-rs-1.0.3-r0.apk             10-Dec-2025 00:01    312K
bash-pinyin-completion-rs-doc-1.0.3-r0.apk         10-Dec-2025 00:01     14K
bat-extras-2024.08.24-r0.apk                       12-Oct-2025 20:47    5441
bat-extras-batdiff-2024.08.24-r0.apk               12-Oct-2025 20:47    5534
bat-extras-batgrep-2024.08.24-r0.apk               12-Oct-2025 20:47    7525
bat-extras-batman-2024.08.24-r0.apk                12-Oct-2025 20:47    4862
bat-extras-batpipe-2024.08.24-r0.apk               12-Oct-2025 20:47    7197
bat-extras-batwatch-2024.08.24-r0.apk              12-Oct-2025 20:47    5990
bat-extras-doc-2024.08.24-r0.apk                   12-Oct-2025 20:47     16K
bat-extras-prettybat-2024.08.24-r0.apk             12-Oct-2025 20:47    5712
batmon-0.0.1-r0.apk                                25-Oct-2024 20:10    448K
battery-limit-openrc-1-r0.apk                      17-Jun-2025 10:19    1882
bcg729-1.1.1-r1.apk                                15-Oct-2025 08:21     34K
bcg729-dev-1.1.1-r1.apk                            15-Oct-2025 08:21    3708
bchunk-1.2.2-r3.apk                                25-Oct-2024 20:10    7414
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 20:10    3068
bdfr-2.6.2-r1.apk                                  25-Oct-2024 20:10    131K
beancount-language-server-1.4.1-r0.apk             03-Aug-2025 10:42      1M
beard-0.4-r0.apk                                   25-Oct-2024 20:10    3216
beard-doc-0.4-r0.apk                               25-Oct-2024 20:10    2537
bees-0.10-r2.apk                                   25-Oct-2024 20:10    330K
bees-openrc-0.10-r2.apk                            25-Oct-2024 20:10    1990
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48     12K
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48     11K
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48    222K
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:51    639K
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:51     54K
belr-5.3.105-r0.apk                                25-Feb-2025 12:52    117K
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52     15K
berry-lang-1.1.0-r0.apk                            25-Oct-2024 20:10    127K
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 20:10     22K
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 20:10    1720
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 20:11     18M
bettercap-2.41.5-r0.apk                            16-Dec-2025 01:51     19M
bettercap-doc-2.41.5-r0.apk                        16-Dec-2025 01:51     14K
bgpq4-1.15-r0.apk                                  25-Oct-2024 20:11     32K
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 20:11    6494
bgs-0.8-r1.apk                                     25-Oct-2024 20:11    5752
bgs-doc-0.8-r1.apk                                 25-Oct-2024 20:11    2358
biboumi-9.0-r9.apk                                 06-Oct-2025 10:56    297K
biboumi-doc-9.0-r9.apk                             06-Oct-2025 10:56    1512
biboumi-openrc-9.0-r9.apk                          06-Oct-2025 10:56    1941
bindfs-1.17.7-r1.apk                               19-Jun-2025 08:56     22K
bindfs-doc-1.17.7-r1.apk                           19-Jun-2025 08:56    9272
biometryd-0.3.3-r0.apk                             09-Dec-2025 11:12    340K
biometryd-dev-0.3.3-r0.apk                         09-Dec-2025 11:12     13K
bionic_translation-0_git20251125-r0.apk            08-Jan-2026 12:09     58K
bionic_translation-dbg-0_git20251125-r0.apk        08-Jan-2026 12:09    136K
bionic_translation-dev-0_git20251125-r0.apk        08-Jan-2026 12:09    1803
birdtray-1.11.4-r0.apk                             12-Dec-2025 17:03    449K
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 20:11     67K
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 20:11     52K
bitritter-0.1.1-r0.apk                             25-Oct-2024 20:11      2M
bkt-0.8.0-r0.apk                                   25-Oct-2024 20:11    365K
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 20:11    7428
blackbox-1.20220610-r1.apk                         25-Oct-2024 20:11     16K
blip-0.10-r0.apk                                   25-Oct-2024 20:11     15K
blip-doc-0.10-r0.apk                               25-Oct-2024 20:11     30K
bochs-2.8-r1.apk                                   20-Feb-2025 18:40    944K
bochs-doc-2.8-r1.apk                               20-Feb-2025 18:40    139K
boinc-7.24.3-r0.apk                                25-Oct-2024 20:11      2M
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 20:11    590K
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 20:11    8186
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 20:11      1M
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 20:11    877K
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 20:11    214K
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 20:11    141K
bomctl-0.1.9-r11.apk                               04-Dec-2025 14:22     10M
bomctl-bash-completion-0.1.9-r11.apk               04-Dec-2025 14:22    5263
bomctl-fish-completion-0.1.9-r11.apk               04-Dec-2025 14:22    4449
bomctl-zsh-completion-0.1.9-r11.apk                04-Dec-2025 14:22    4158
bonzomatic-20230615-r0.apk                         25-Oct-2024 20:11    649K
bootchart2-0.14.9-r1.apk                           17-Nov-2025 13:00    136K
bootchart2-systemd-0.14.9-r1.apk                   17-Nov-2025 13:00    2270
bootinfo-0.1.0-r4.apk                              25-Oct-2024 20:11     19K
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 20:11    8443
bootloose-0.7.1-r16.apk                            04-Dec-2025 14:22      2M
bootterm-0.5-r0.apk                                25-Oct-2024 20:11     20K
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 20:11    2388
bore-0.5.2-r0.apk                                  15-Dec-2024 19:26    566K
borogove-sdk-0_git20251206-r0.apk                  07-Dec-2025 15:58      3M
boson-0_git20211219-r0.apk                         25-Oct-2024 20:11     19K
botan2-2.19.5-r0.apk                               28-May-2025 21:40    461K
botan2-dev-2.19.5-r0.apk                           28-May-2025 21:40    312K
botan2-doc-2.19.5-r0.apk                           28-May-2025 21:40    306K
botan2-libs-2.19.5-r0.apk                          28-May-2025 21:40      3M
boxes-2.3.1-r0.apk                                 25-Oct-2024 20:11     82K
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 20:11    7285
brial-1.2.11-r4.apk                                25-Oct-2024 20:11      1M
brial-dev-1.2.11-r4.apk                            25-Oct-2024 20:11      2M
btfs-2.24-r12.apk                                  25-Oct-2024 20:11     32K
btfs-doc-2.24-r12.apk                              25-Oct-2024 20:11    2443
btpd-0.16-r2.apk                                   25-Oct-2024 20:11     91K
btpd-doc-0.16-r2.apk                               25-Oct-2024 20:11    8599
budgie-control-center-1.4.0-r0.apk                 16-Oct-2025 17:07      3M
budgie-control-center-bash-completion-1.4.0-r0.apk 16-Oct-2025 17:07    2360
budgie-control-center-lang-1.4.0-r0.apk            16-Oct-2025 17:07      4M
budgie-desktop-10.9.2-r0.apk                       16-Oct-2025 17:07      1M
budgie-desktop-dev-10.9.2-r0.apk                   16-Oct-2025 17:07     18K
budgie-desktop-doc-10.9.2-r0.apk                   16-Oct-2025 17:07    5787
budgie-desktop-lang-10.9.2-r0.apk                  16-Oct-2025 17:07    586K
budgie-screensaver-5.1.0-r0.apk                    16-Oct-2025 17:07     77K
budgie-screensaver-doc-5.1.0-r0.apk                16-Oct-2025 17:07    3426
budgie-screensaver-lang-5.1.0-r0.apk               16-Oct-2025 17:07    240K
budgie-session-0.9.1-r0.apk                        16-Oct-2025 17:07    112K
budgie-session-doc-0.9.1-r0.apk                    16-Oct-2025 17:07    5413
budgie-session-lang-0.9.1-r0.apk                   16-Oct-2025 17:07    307K
buildcache-0.28.9-r0.apk                           25-Oct-2024 20:11    847K
bump2version-1.0.1-r6.apk                          25-Oct-2024 20:11     21K
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 20:11     29K
burp-3.1.4-r0.apk                                  25-Oct-2024 20:11    203K
burp-doc-3.1.4-r0.apk                              25-Oct-2024 20:11     99K
burp-server-3.1.4-r0.apk                           25-Oct-2024 20:11     36K
butane-0.25.1-r2.apk                               04-Dec-2025 14:22      3M
bwrap-oci-0.2-r1.apk                               25-Oct-2024 20:11     17K
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 20:11    2547
bzmenu-0.2.1-r3.apk                                09-Aug-2025 02:08      1M
cadence-0.9.2-r1.apk                               09-Oct-2025 10:53      1M
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 20:11    100K
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 20:11    3234
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 20:11     34K
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11     28K
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:08     45K
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:08     37K
caps2esc-0.3.2-r0.apk                              25-Oct-2024 20:11    4727
captive-browser-0_git20210801-r2.apk               04-Dec-2025 14:22      1M
captive-browser-doc-0_git20210801-r2.apk           04-Dec-2025 14:22    3768
care-2.3.0-r1.apk                                  25-Oct-2024 20:11    102K
care-doc-2.3.0-r1.apk                              25-Oct-2024 20:11    8076
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:14      6M
cargo-geiger-0.13.0-r0.apk                         10-Oct-2025 17:33      5M
cargo-geiger-doc-0.13.0-r0.apk                     10-Oct-2025 17:33    7862
cargo-generate-0.23.5-r0.apk                       04-Oct-2025 13:15      2M
cargo-machete-0.9.1-r0.apk                         17-Aug-2025 18:48      1M
cargo-machete-doc-0.9.1-r0.apk                     17-Aug-2025 18:48    4465
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 20:11    448K
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 20:11    5189
cargo-show-asm-0.2.53-r0.apk                       30-Oct-2025 00:23    853K
cargo-show-asm-doc-0.2.53-r0.apk                   30-Oct-2025 00:23     10K
cargo-shuttle-0.56.6-r0.apk                        29-Jul-2025 07:56      5M
cargo-shuttle-bash-completion-0.56.6-r0.apk        29-Jul-2025 07:56    5297
cargo-shuttle-doc-0.56.6-r0.apk                    29-Jul-2025 07:56    9211
cargo-shuttle-fish-completion-0.56.6-r0.apk        29-Jul-2025 07:56    9267
cargo-shuttle-zsh-completion-0.56.6-r0.apk         29-Jul-2025 07:56    8128
cargo-udeps-0.1.60-r0.apk                          08-Jan-2026 23:20      5M
cargo-udeps-doc-0.1.60-r0.apk                      08-Jan-2026 23:20    7680
cargo-update-18.0.0-r0.apk                         28-Oct-2025 07:24      1M
cargo-update-doc-18.0.0-r0.apk                     28-Oct-2025 07:24    8711
cargo-vendor-filterer-0.5.18-r0.apk                24-Jul-2025 17:30    660K
cartero-0.2.2-r0.apk                               12-Oct-2025 23:55      1M
cartero-lang-0.2.2-r0.apk                          12-Oct-2025 23:55     45K
castero-0.9.5-r4.apk                               14-May-2025 18:17     50K
castero-pyc-0.9.5-r4.apk                           14-May-2025 18:17     94K
castor-0.9.0-r2.apk                                25-Oct-2024 20:11    711K
catdoc-0.95-r1.apk                                 25-Oct-2024 20:11    112K
catdoc-doc-0.95-r1.apk                             25-Oct-2024 20:11    9470
catfish-4.20.1-r0.apk                              07-Jul-2025 19:20    128K
catfish-doc-4.20.1-r0.apk                          07-Jul-2025 19:20     13K
catfish-lang-4.20.1-r0.apk                         07-Jul-2025 19:20    167K
catfish-pyc-4.20.1-r0.apk                          07-Jul-2025 19:20    104K
catppuccin-whiskers-2.5.1-r0.apk                   29-Nov-2025 18:59      2M
catppuccin-whiskers-doc-2.5.1-r0.apk               29-Nov-2025 18:59    2306
cava-0.10.6-r0.apk                                 12-Sep-2025 08:10     47K
cbqn-0.10.0-r0.apk                                 30-Nov-2025 12:22      1M
cc65-2.19-r0.apk                                   25-Oct-2024 20:11      9M
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 20:11    112K
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 20:11     53K
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 20:11     31K
ccze-0.2.1-r1.apk                                  25-Oct-2024 20:11     51K
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 20:11    3399
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 20:11    9054
cdba-1.0-r2.apk                                    25-Oct-2024 20:11    8152
cdba-server-1.0-r2.apk                             25-Oct-2024 20:11     22K
cddlib-0.94m-r2.apk                                25-Oct-2024 20:11    219K
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 20:11     14K
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 20:11    864K
cddlib-static-0.94m-r2.apk                         25-Oct-2024 20:11    285K
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 20:11     36K
cdist-7.0.0-r6.apk                                 25-Oct-2024 20:11    511K
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 20:11    128K
cdogs-sdl-2.3.2-r0.apk                             09-Oct-2025 18:46     28M
certbot-dns-hetzner-2.0.1-r1.apk                   06-Oct-2025 11:44     10K
certbot-dns-hetzner-pyc-2.0.1-r1.apk               06-Oct-2025 11:44    6611
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:05    9525
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:05    4313
certbot-dns-pdns-0.1.1-r1.apk                      28-Aug-2025 01:19    8816
certbot-dns-pdns-pyc-0.1.1-r1.apk                  28-Aug-2025 01:19    3964
certigo-1.16.0-r28.apk                             04-Dec-2025 14:22      4M
certstrap-1.3.0-r29.apk                            04-Dec-2025 14:22      2M
cfssl-1.6.5-r10.apk                                04-Dec-2025 14:22     31M
cgiirc-0.5.12-r1.apk                               25-Oct-2024 20:11    133K
cgo-0.6.1-r1.apk                                   25-Oct-2024 20:11     11K
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 20:11    4214
charls-2.4.2-r0.apk                                25-Oct-2024 20:11     67K
charls-dev-2.4.2-r0.apk                            25-Oct-2024 20:11     27K
charta-0.8.2-r1.apk                                04-Dec-2025 14:22      2M
chasquid-1.17.0-r0.apk                             19-Dec-2025 15:57     12M
chasquid-doc-1.17.0-r0.apk                         19-Dec-2025 15:57     11K
chasquid-openrc-1.17.0-r0.apk                      19-Dec-2025 15:57    2006
checkpolicy-3.6-r0.apk                             25-Oct-2024 20:11    354K
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 20:11    4271
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40      3M
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40    2153
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40    859K
chess-tui-2.0.0-r0.apk                             13-Dec-2025 01:11      1M
chess-tui-doc-2.0.0-r0.apk                         13-Dec-2025 01:11    2291
chim-1.1.2-r1.apk                                  25-Oct-2024 20:11      2M
chim-doc-1.1.2-r1.apk                              25-Oct-2024 20:11    2881
chimerautils-15.0.3-r0.apk                         10-Jan-2026 22:51      1M
chimerautils-dbg-15.0.3-r0.apk                     10-Jan-2026 22:51      3M
chocolate-doom-3.1.1-r0.apk                        19-Aug-2025 01:30      2M
chocolate-doom-doc-3.1.1-r0.apk                    19-Aug-2025 01:30    233K
cilium-cli-0.16.13-r10.apk                         04-Dec-2025 14:22     57M
cilium-cli-bash-completion-0.16.13-r10.apk         04-Dec-2025 14:22    5188
cilium-cli-fish-completion-0.16.13-r10.apk         04-Dec-2025 14:22    4432
cilium-cli-zsh-completion-0.16.13-r10.apk          04-Dec-2025 14:22    4142
cimg-3.4.1-r0.apk                                  25-Oct-2024 20:11    826K
circuslinux-1.0.3-r1.apk                           25-Oct-2024 20:11     20K
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 20:11      1M
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 20:11     18K
ckb-next-0.6.2-r1.apk                              06-Sep-2025 20:30      1M
ckb-next-daemon-0.6.2-r1.apk                       06-Sep-2025 20:30     70K
ckb-next-daemon-openrc-0.6.2-r1.apk                06-Sep-2025 20:30    1884
ckb-next-dev-0.6.2-r1.apk                          06-Sep-2025 20:30    5050
clatd-2.1.0-r0.apk                                 08-Jan-2026 21:57     15K
clementine-1.4.1_git20250503-r0.apk                12-Jun-2025 14:06      7M
clevis-21-r0.apk                                   20-Jan-2025 04:17     54K
clevis-bash-completion-21-r0.apk                   20-Jan-2025 04:17    2088
clevis-dbg-21-r0.apk                               20-Jan-2025 04:17     57K
clevis-doc-21-r0.apk                               20-Jan-2025 04:17     23K
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 20:11    4769
click-0.5.2-r4.apk                                 17-Feb-2025 09:34    160K
click-dev-0.5.2-r4.apk                             17-Feb-2025 09:34    9342
click-doc-0.5.2-r4.apk                             17-Feb-2025 09:34    3389
click-pyc-0.5.2-r4.apk                             17-Feb-2025 09:34    175K
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 20:11     46K
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 20:11    6628
cliphist-0.7.0-r1.apk                              04-Dec-2025 14:22      1M
cliphist-fzf-0.7.0-r1.apk                          04-Dec-2025 14:22    1836
clipit-1.4.5-r3.apk                                25-Oct-2024 20:11     67K
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 20:11    2443
cliquer-1.23-r0.apk                                12-Aug-2025 04:15    7976
cliquer-dev-1.23-r0.apk                            12-Aug-2025 04:15    7678
cliquer-libs-1.23-r0.apk                           12-Aug-2025 04:15     25K
cliquer-static-1.23-r0.apk                         12-Aug-2025 04:15     28K
cliquer-tests-1.23-r0.apk                          12-Aug-2025 04:15     24K
cloudflared-2025.11.1-r11.apk                      01-Jan-2026 02:44     10M
cloudflared-doc-2025.11.1-r11.apk                  01-Jan-2026 02:44    1959
cloudflared-openrc-2025.11.1-r11.apk               01-Jan-2026 02:44    1834
cloudfoundry-cli-8.7.9-r14.apk                     04-Dec-2025 14:22      9M
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 20:11    249K
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 20:11    957K
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 20:11     33K
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 20:11    112K
cmusfm-0.5.0-r1.apk                                27-Aug-2025 04:14     16K
cobang-2.3.1-r0.apk                                06-Jan-2026 13:45     50K
cobang-lang-2.3.1-r0.apk                           06-Jan-2026 13:45     16K
coccinelle-1.1.1-r2.apk                            25-Oct-2024 20:11      6M
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 20:11    2921
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 20:11     16K
cocogitto-6.5.0-r0.apk                             02-Nov-2025 18:21      2M
cocogitto-bash-completion-6.5.0-r0.apk             02-Nov-2025 18:21    3247
cocogitto-doc-6.5.0-r0.apk                         02-Nov-2025 18:21     40K
cocogitto-fish-completion-6.5.0-r0.apk             02-Nov-2025 18:21    3844
cocogitto-zsh-completion-6.5.0-r0.apk              02-Nov-2025 18:21    3250
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:38    371K
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:38    8181
codec2-1.2.0-r1.apk                                22-Nov-2025 18:51    671K
codec2-dev-1.2.0-r1.apk                            22-Nov-2025 18:51     15K
coldbrew-1.0-r0.apk                                06-Jan-2026 20:14    3967
colormake-0.9.20170221-r0.apk                      25-Oct-2024 20:11    4128
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 20:11    2726
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 20:11    4317
comet-0.3.2-r0.apk                                 05-Jan-2026 01:46      3M
comics-downloader-0.33.8-r15.apk                   04-Dec-2025 14:22      4M
comics-downloader-gui-0.33.8-r15.apk               04-Dec-2025 14:22      6M
commit-lsp-0.1.0-r0.apk                            08-May-2025 12:15      2M
commoncpp-7.0.1-r1.apk                             25-Oct-2024 20:11    318K
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 20:11    173K
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 20:11     15K
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 20:11     44K
compiz-0.9.14.2-r13.apk                            29-Nov-2025 00:01      6M
compiz-dev-0.9.14.2-r13.apk                        29-Nov-2025 00:01    117K
compiz-lang-0.9.14.2-r13.apk                       29-Nov-2025 00:01      1M
compiz-pyc-0.9.14.2-r13.apk                        29-Nov-2025 00:01    111K
compiz-utils-0.9.14.2-r13.apk                      29-Nov-2025 00:01    3417
comrak-0.49.0-r0.apk                               14-Dec-2025 14:22      1M
comrak-doc-0.49.0-r0.apk                           14-Dec-2025 14:22     11K
conntracct-0.2.7-r36.apk                           04-Dec-2025 14:22      5M
conntracct-openrc-0.2.7-r36.apk                    04-Dec-2025 14:22    1962
conserver-8.2.7-r0.apk                             18-Dec-2025 18:05    125K
conserver-doc-8.2.7-r0.apk                         18-Dec-2025 18:05     28K
conserver-openrc-8.2.7-r0.apk                      18-Dec-2025 18:05    1711
console_bridge-1.0.2-r0.apk                        25-Oct-2024 20:11     10K
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 20:11    4842
consul-replicate-0.4.0-r36.apk                     04-Dec-2025 14:22      3M
contractor-0.3.5-r0.apk                            12-Nov-2024 21:54     27K
convert2json-2.4.1-r0.apk                          15-Dec-2025 16:19    1358
convert2json-bson-2.4.1-r0.apk                     15-Dec-2025 16:19    1315
convert2json-bson-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19    267K
convert2json-bson-json-2.4.1-r0.apk                15-Dec-2025 16:19    259K
convert2json-cbor-2.4.1-r0.apk                     15-Dec-2025 16:19    1317
convert2json-cbor-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19    228K
convert2json-cbor-json-2.4.1-r0.apk                15-Dec-2025 16:19    220K
convert2json-csv-2.4.1-r0.apk                      15-Dec-2025 16:19    1316
convert2json-csv-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19    248K
convert2json-csv-json-2.4.1-r0.apk                 15-Dec-2025 16:19    239K
convert2json-doc-2.4.1-r0.apk                      15-Dec-2025 16:19     13K
convert2json-ini-2.4.1-r0.apk                      15-Dec-2025 16:19    1315
convert2json-ini-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19    209K
convert2json-ini-json-2.4.1-r0.apk                 15-Dec-2025 16:19    200K
convert2json-jaq-2.4.1-r0.apk                      15-Dec-2025 16:19    1399
convert2json-json-2.4.1-r0.apk                     15-Dec-2025 16:19    1379
convert2json-messagepack-2.4.1-r0.apk              15-Dec-2025 16:19    1322
convert2json-messagepack-jaq-2.4.1-r0.apk          15-Dec-2025 16:19    225K
convert2json-messagepack-json-2.4.1-r0.apk         15-Dec-2025 16:19    216K
convert2json-plist-2.4.1-r0.apk                    15-Dec-2025 16:19    1315
convert2json-plist-jaq-2.4.1-r0.apk                15-Dec-2025 16:19    269K
convert2json-plist-json-2.4.1-r0.apk               15-Dec-2025 16:19    261K
convert2json-rsv-2.4.1-r0.apk                      15-Dec-2025 16:19    1315
convert2json-rsv-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19    189K
convert2json-rsv-json-2.4.1-r0.apk                 15-Dec-2025 16:19    178K
convert2json-toml-2.4.1-r0.apk                     15-Dec-2025 16:19    1317
convert2json-toml-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19    266K
convert2json-toml-json-2.4.1-r0.apk                15-Dec-2025 16:19    257K
convert2json-xml-2.4.1-r0.apk                      15-Dec-2025 16:19    1319
convert2json-xml-jaq-2.4.1-r0.apk                  15-Dec-2025 16:19    223K
convert2json-xml-json-2.4.1-r0.apk                 15-Dec-2025 16:19    214K
convert2json-yaml-2.4.1-r0.apk                     15-Dec-2025 16:19    1317
convert2json-yaml-jaq-2.4.1-r0.apk                 15-Dec-2025 16:19    296K
convert2json-yaml-json-2.4.1-r0.apk                15-Dec-2025 16:19    287K
copyq-13.0.0-r1.apk                                04-Dec-2025 14:22      3M
copyq-bash-completion-13.0.0-r1.apk                04-Dec-2025 14:22    2322
copyq-doc-13.0.0-r1.apk                            04-Dec-2025 14:22    3578
corosync-3.1.10-r0.apk                             27-Dec-2025 21:42    296K
corosync-dev-3.1.10-r0.apk                         27-Dec-2025 21:42    443K
corosync-doc-3.1.10-r0.apk                         27-Dec-2025 21:42    191K
corosync-openrc-3.1.10-r0.apk                      27-Dec-2025 21:42    1823
cortex-tenant-1.15.7-r2.apk                        04-Dec-2025 14:22      4M
cortex-tenant-openrc-1.15.7-r2.apk                 04-Dec-2025 14:22    2093
cowsay-3.04-r2.apk                                 25-Oct-2024 20:11     18K
cowsay-doc-3.04-r2.apk                             25-Oct-2024 20:11    4095
cpdf-2.8.1-r0.apk                                  08-May-2025 12:15      2M
cpdf-doc-2.8.1-r0.apk                              08-May-2025 12:15    558K
cpiped-0.1.0-r0.apk                                25-Oct-2024 20:11    6933
cpp-httplib-0.30.1-r0.apk                          10-Jan-2026 15:30     96K
cpp-httplib-doc-0.30.1-r0.apk                      10-Jan-2026 15:30     14K
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:24     80K
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:24     99K
cpu-x-5.2.0-r1.apk                                 06-May-2025 10:02      2M
cpu-x-bash-completion-5.2.0-r1.apk                 06-May-2025 10:02    2061
cpu-x-fish-completion-5.2.0-r1.apk                 06-May-2025 10:02    2270
cpu-x-lang-5.2.0-r1.apk                            06-May-2025 10:02    266K
cpu-x-zsh-completion-5.2.0-r1.apk                  06-May-2025 10:02    2176
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 20:11    4151
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 20:11     36K
createrepo_c-1.1.4-r1.apk                          31-Dec-2025 18:35     49K
createrepo_c-bash-completion-1.1.4-r1.apk          31-Dec-2025 18:35    2959
createrepo_c-dev-1.1.4-r1.apk                      31-Dec-2025 18:35     31K
createrepo_c-doc-1.1.4-r1.apk                      31-Dec-2025 18:35    8819
createrepo_c-libs-1.1.4-r1.apk                     31-Dec-2025 18:35     89K
crispy-doom-7.1-r0.apk                             24-Sep-2025 02:49      2M
crispy-doom-doc-7.1-r0.apk                         24-Sep-2025 02:49    107K
crossplane-0.5.8-r3.apk                            25-Oct-2024 20:11     30K
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 20:11     39K
crowdsec-1.7.4-r0.apk                              05-Dec-2025 01:55     43M
crowdsec-email-plugin-1.7.4-r0.apk                 05-Dec-2025 01:55      7M
crowdsec-file-plugin-1.7.4-r0.apk                  05-Dec-2025 01:55      7M
crowdsec-http-plugin-1.7.4-r0.apk                  05-Dec-2025 01:55      7M
crowdsec-openrc-1.7.4-r0.apk                       05-Dec-2025 01:55    1840
crowdsec-sentinel-plugin-1.7.4-r0.apk              05-Dec-2025 01:55      7M
crowdsec-slack-plugin-1.7.4-r0.apk                 05-Dec-2025 01:55      7M
crowdsec-splunk-plugin-1.7.4-r0.apk                05-Dec-2025 01:55      7M
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:35      1M
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:35     13K
cscope-15.9-r1.apk                                 25-Oct-2024 20:11    155K
cscope-doc-15.9-r1.apk                             25-Oct-2024 20:11    7674
csfml-2.5.2-r0.apk                                 25-Oct-2024 20:11    104K
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 20:11     77K
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 20:11    204K
csmith-2.3.0-r2.apk                                25-Oct-2024 20:11    330K
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 20:11    3148
csol-1.6.0-r0.apk                                  25-Oct-2024 20:11     37K
csol-doc-1.6.0-r0.apk                              25-Oct-2024 20:11    3942
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 20:11     99K
cups-pdf-3.0.2-r0.apk                              04-Jul-2025 21:11     21K
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 20:11     27K
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 20:11    6268
curtail-1.13.0-r0.apk                              05-Jul-2025 20:40     30K
curtail-lang-1.13.0-r0.apk                         05-Jul-2025 20:40     78K
cutechess-1.3.1-r0.apk                             25-Oct-2024 20:11      1M
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 20:11    349K
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 20:11    6739
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 20:11    3654
cvise-2.11.0-r0.apk                                08-Mar-2025 13:29      6M
cvise-pyc-2.11.0-r0.apk                            08-Mar-2025 13:29     60K
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 20:11     47K
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 20:11     17K
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 20:11    8868
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 20:11    6935
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 20:11    2328
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 20:11    7260
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 20:11    219K
daemontools-0.76-r3.apk                            25-Oct-2024 20:11     66K
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 20:11    2009
daktilo-0.6.0-r0.apk                               25-Oct-2024 20:11      2M
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 20:11    2217
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 20:11    8871
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 20:11    1982
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 20:11    2324
dam-0_git20250728-r0.apk                           22-Dec-2025 21:22     13K
dam-doc-0_git20250728-r0.apk                       22-Dec-2025 21:22    2349
darts-clone-0.32h-r0.apk                           06-Jul-2025 07:09     39K
darts-clone-dev-0.32h-r0.apk                       06-Jul-2025 07:09     13K
dasht-2.4.0-r0.apk                                 25-Oct-2024 20:11     14K
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 20:11     11K
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 20:11    2136
davmail-6.5.1-r0.apk                               14-Nov-2025 21:16      8M
dbmate-2.28.0-r1.apk                               04-Dec-2025 14:22     12M
dbmate-doc-2.28.0-r1.apk                           04-Dec-2025 14:22    2321
dbus-broker-37-r0.apk                              17-Jun-2025 10:18     82K
dbus-broker-doc-37-r0.apk                          17-Jun-2025 10:18    6012
dcmtk-3.7.0-r0.apk                                 28-Dec-2025 20:54      1M
dcmtk-dev-3.7.0-r0.apk                             28-Dec-2025 20:54      2M
dcmtk-doc-3.7.0-r0.apk                             28-Dec-2025 20:54    258K
dcmtk-openrc-3.7.0-r0.apk                          28-Dec-2025 20:54    1791
dcnnt-0.10.0-r1.apk                                25-Oct-2024 20:11     28K
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 20:11    6749
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 20:11     62K
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 11:45     19K
ddgr-2.2-r0.apk                                    25-Oct-2024 20:11     20K
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 20:11    2278
ddgr-doc-2.2-r0.apk                                25-Oct-2024 20:11     12K
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 20:11    2356
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 20:11    2761
ddserver-0_git20200930-r1.apk                      25-Oct-2024 20:11     13K
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 20:11    6397
debconf-1.5.82-r0.apk                              25-Oct-2024 20:11     69K
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 20:11    1901
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 20:11     27K
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 20:11    132K
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 20:11    6820
decoder-0.7.0-r0.apk                               11-Apr-2025 18:13      2M
decoder-lang-0.7.0-r0.apk                          11-Apr-2025 18:13     59K
dehydrated-0.7.1-r0.apk                            25-Oct-2024 20:11     26K
desed-1.2.1-r1.apk                                 25-Oct-2024 20:11    403K
desed-doc-1.2.1-r1.apk                             25-Oct-2024 20:11    2950
desync-0.9.6-r10.apk                               04-Dec-2025 14:22      8M
detox-2.0.0-r0.apk                                 25-Oct-2024 20:11    108K
detox-doc-2.0.0-r0.apk                             25-Oct-2024 20:11     21K
deviced-0_git20250427-r0.apk                       05-Jul-2025 20:03    127K
deviced-dev-0_git20250427-r0.apk                   05-Jul-2025 20:03     26K
deviced-openrc-0_git20250427-r0.apk                05-Jul-2025 20:03    1741
devil-1.8.0-r0.apk                                 25-Oct-2024 20:11    269K
devil-dev-1.8.0-r0.apk                             25-Oct-2024 20:11     13K
dewduct-0.2.3-r0.apk                               25-Oct-2024 20:11      1M
dfl-applications-0.3.0-r0.apk                      21-Aug-2025 07:57     71K
dfl-applications-dev-0.3.0-r0.apk                  21-Aug-2025 07:57    4021
dfl-ipc-0.3.0-r0.apk                               21-Aug-2025 07:57     52K
dfl-ipc-dev-0.3.0-r0.apk                           21-Aug-2025 07:57    4900
dfl-login1-0.3.0-r0.apk                            21-Aug-2025 07:57     38K
dfl-login1-dev-0.3.0-r0.apk                        21-Aug-2025 07:57    3785
dfl-sni-0.3.0-r0.apk                               21-Aug-2025 07:57     64K
dfl-sni-dev-0.3.0-r0.apk                           21-Aug-2025 07:57    5070
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 20:11     33K
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 20:11    2853
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 20:11    5902
dhewm3-1.5.4-r0.apk                                17-Feb-2025 09:34      5M
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49    334K
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49     18K
disfetch-3.7-r0.apk                                25-Oct-2024 20:11    8491
diskonaut-0.11.0-r3.apk                            25-Oct-2024 20:11    454K
diskus-0.8.0-r0.apk                                18-May-2025 22:15    357K
dislocker-0.7.3-r6.apk                             19-Jul-2025 22:46     12K
dislocker-doc-0.7.3-r6.apk                         19-Jul-2025 22:46    6166
dislocker-libs-0.7.3-r6.apk                        19-Jul-2025 22:46     47K
distroshelf-1.3.0-r0.apk                           27-Dec-2025 21:42      4M
distroshelf-lang-1.3.0-r0.apk                      27-Dec-2025 21:42     37K
dlib-19.24.4-r0.apk                                25-Oct-2024 20:11    830K
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 20:11      2M
dmarc-cat-0.15.0-r10.apk                           04-Dec-2025 14:22      3M
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:02     25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:02    1897
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:02     46K
dmenu-wl-0.1-r0.apk                                02-Jul-2025 10:32     17K
dmenu-wl-doc-0.1-r0.apk                            02-Jul-2025 10:32    4180
dnscontrol-4.30.0-r0.apk                           07-Jan-2026 22:29     18M
dnscontrol-doc-4.30.0-r0.apk                       07-Jan-2026 22:29    2336
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 20:11     30K
dnsenum-1.3.2-r0.apk                               25-Oct-2024 20:11     21K
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 20:11    5364
dnsperf-2.14.0-r0.apk                              25-Oct-2024 20:11     77K
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 20:11     35K
dnssec-tools-2.2.3-r13.apk                         01-Jul-2025 19:19    762K
dnssec-tools-dev-2.2.3-r13.apk                     01-Jul-2025 19:19    186K
dnssec-tools-doc-2.2.3-r13.apk                     01-Jul-2025 19:19    317K
doasedit-1.0.9-r0.apk                              31-Oct-2025 14:11    3543
docker-volume-local-persist-1.3.0-r38.apk          04-Dec-2025 14:22      3M
docker-volume-local-persist-openrc-1.3.0-r38.apk   04-Dec-2025 14:22    1829
dockerize-0.9.6-r2.apk                             04-Dec-2025 14:22      4M
dooit-3.3.3-r0.apk                                 27-Oct-2025 08:30     46K
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:23     13K
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:23     23K
dooit-pyc-3.3.3-r0.apk                             27-Oct-2025 08:30    103K
dotenv-linter-4.0.0-r0.apk                         22-Nov-2025 16:03      1M
downloader-cli-0.3.4-r2.apk                        14-May-2025 18:17    2023
draco-1.5.7-r2.apk                                 17-Feb-2025 09:34    893K
draco-dev-1.5.7-r2.apk                             17-Feb-2025 09:34    205K
draco-static-1.5.7-r2.apk                          17-Feb-2025 09:34      1M
draco-tools-1.5.7-r2.apk                           17-Feb-2025 09:34      1M
draw-0.1.1-r18.apk                                 04-Dec-2025 14:22      1M
drogon-1.9.4-r2.apk                                22-May-2025 07:14      2M
drogon-dev-1.9.4-r2.apk                            22-May-2025 07:14    121K
drogon-doc-1.9.4-r2.apk                            22-May-2025 07:14    2325
droidcam-2.1.3-r3.apk                              27-Sep-2025 13:34     19K
droidcam-gui-2.1.3-r3.apk                          27-Sep-2025 13:34     28K
drone-cli-1.8.0-r15.apk                            04-Dec-2025 14:22      6M
dropwatch-1.5.5-r2.apk                             04-Dec-2025 14:22     16K
dropwatch-doc-1.5.5-r2.apk                         04-Dec-2025 14:22    3793
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 20:11    413K
drupal7-7.103-r0.apk                               04-Dec-2024 17:27      3M
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:27     57K
dsp-2.0-r2.apk                                     27-Sep-2025 13:34    161K
dsp-doc-2.0-r2.apk                                 27-Sep-2025 13:34     10K
dstask-0.27-r4.apk                                 04-Dec-2025 14:22      2M
dstask-bash-completion-0.27-r4.apk                 04-Dec-2025 14:22    2162
dstask-fish-completion-0.27-r4.apk                 04-Dec-2025 14:22    1713
dstask-import-0.27-r4.apk                          04-Dec-2025 14:22      4M
dstask-zsh-completion-0.27-r4.apk                  04-Dec-2025 14:22    1701
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 20:11     50K
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 20:11    2929
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 20:11    7056
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 20:11    2360
duc-1.4.5-r0.apk                                   25-Oct-2024 20:11     87K
duc-doc-1.4.5-r0.apk                               25-Oct-2024 20:11    9301
duf-0.9.1-r2.apk                                   04-Dec-2025 14:22      1M
duf-doc-0.9.1-r2.apk                               04-Dec-2025 14:22    4832
dulcepan-1.0.2-r0.apk                              25-Oct-2024 20:11     21K
dum-0.1.20-r1.apk                                  29-Mar-2025 15:58    358K
dune-deps-1.3.0-r2.apk                             25-Oct-2024 20:11    747K
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 20:11      5M
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 20:11     17K
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 20:11    7765
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 20:11    1463
dvisvgm-3.4.4-r0.apk                               18-May-2025 22:44      1M
dvisvgm-doc-3.4.4-r0.apk                           18-May-2025 22:44     26K
dwl-0.7-r0.apk                                     25-Oct-2024 20:11     29K
dwl-doc-0.7-r0.apk                                 25-Oct-2024 20:11    3199
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22    782K
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22     27K
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22    380K
eatmemory-0.1.6-r2.apk                             25-Oct-2024 20:11    4397
ecasound-2.9.3-r4.apk                              24-Feb-2025 21:15    729K
ecasound-dev-2.9.3-r4.apk                          24-Feb-2025 21:15      1M
ecasound-doc-2.9.3-r4.apk                          24-Feb-2025 21:15     38K
ecos-2.0.10-r0.apk                                 25-Oct-2024 20:11     41K
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 20:11     28K
edit-1.2.1-r0.apk                                  16-Oct-2025 13:17    264K
edit-doc-1.2.1-r0.apk                              16-Oct-2025 13:17    2301
edward-1.1.0-r0.apk                                25-Oct-2024 20:11      2M
edward-doc-1.1.0-r0.apk                            25-Oct-2024 20:11    5399
efl-1.28.1-r2.apk                                  23-Mar-2025 15:03     34M
efl-dev-1.28.1-r2.apk                              23-Mar-2025 15:03      2M
efl-gdb-1.28.1-r2.apk                              23-Mar-2025 15:03    1733
eiwd-3.10-r0.apk                                   07-Oct-2025 16:31    912K
eiwd-doc-3.10-r0.apk                               07-Oct-2025 16:31     21K
eiwd-openrc-3.10-r0.apk                            07-Oct-2025 16:31    1927
elementary-calculator-8.0.1-r0.apk                 02-Sep-2025 02:29     73K
elementary-calculator-lang-8.0.1-r0.apk            02-Sep-2025 02:29     59K
elementary-camera-8.0.2-r0.apk                     02-Sep-2025 02:25     88K
elementary-camera-lang-8.0.2-r0.apk                02-Sep-2025 02:25     35K
elementary-dock-8.0.2-r0.apk                       24-May-2025 22:58     90K
elementary-dock-lang-8.0.2-r0.apk                  24-May-2025 22:58     28K
elementary-feedback-8.1.0-r0.apk                   22-Nov-2025 15:38     48K
elementary-feedback-lang-8.1.0-r0.apk              22-Nov-2025 15:38     48K
elementary-icon-theme-8.1.0-r0.apk                 13-May-2025 06:39      5M
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:06     75K
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:06     47K
elementary-photos-8.0.1-r0.apk                     02-Dec-2024 22:59      1M
elementary-photos-lang-8.0.1-r0.apk                02-Dec-2024 22:59      1M
elementary-settings-daemon-8.3.0-r0.apk            26-May-2025 09:05     85K
elementary-settings-daemon-lang-8.3.0-r0.apk       26-May-2025 09:05     74K
elementary-settings-daemon-openrc-8.3.0-r0.apk     26-May-2025 09:05    1842
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:08     83K
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:36      1M
elementary-videos-8.0.2-r0.apk                     02-Sep-2025 02:28    118K
elementary-videos-lang-8.0.2-r0.apk                02-Sep-2025 02:28     83K
elf_diff-0.7.1-r3.apk                              25-Oct-2024 20:11    108K
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 20:11    108K
eludris-0.3.3-r1.apk                               25-Oct-2024 20:11      2M
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 20:11    2344
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 20:11     23K
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 20:11     43K
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 21:27    3917
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 20:11     55K
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 20:11     14K
emacs-company-1.0.2-r0.apk                         12-Dec-2025 10:07    159K
emacs-company-wubi-0_git20161031-r0.apk            12-Dec-2025 10:07      1M
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 20:11    138K
emacs-derl-0_git20231004-r1.apk                    29-Jul-2025 04:36     23K
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 21:27     91K
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 20:11     23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 20:11    6208
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 20:11    5993
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 20:11     18K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:11    4394
emacs-embark-1.1-r0.apk                            23-Apr-2025 21:27    111K
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 21:27     10K
emacs-ement-0.16-r0.apk                            23-Apr-2025 21:27    291K
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 20:11     37K
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 20:11     15K
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 20:11     62K
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 20:11     16K
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 20:11    815K
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 20:11     10K
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 20:11     46K
emacs-llama-1.0.3-r0.apk                           03-Jan-2026 05:29     13K
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 12:21    428K
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 12:21    2326
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 20:11    6793
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 20:11     29K
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 20:11     17K
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 20:11     19K
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 21:27     11K
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 21:27     18K
emacs-total-recall-0_git20250426-r0.apk            30-Apr-2025 08:17     18K
emacs-total-recall-examples-0_git20250426-r0.apk   30-Apr-2025 08:17     14K
emmylua-check-0.17.0-r0.apk                        22-Dec-2025 00:14      2M
emmylua-doc-cli-0.17.0-r0.apk                      22-Dec-2025 00:14      2M
emmylua-ls-0.17.0-r0.apk                           22-Dec-2025 00:14      3M
emmylua-ls-doc-0.17.0-r0.apk                       22-Dec-2025 00:14     37K
empede-0.2.3-r0.apk                                25-Oct-2024 20:11      2M
empede-doc-0.2.3-r0.apk                            25-Oct-2024 20:11    2338
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 20:11    1968
emulationstation-2.11.2-r1.apk                     25-Oct-2024 20:11      1M
emulationstation-theme-gbz35-2.11.2-r1.apk         25-Oct-2024 20:11      3M
endeavour-43.0-r2.apk                              08-Dec-2024 21:39    195K
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:39     46K
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:39     68K
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:39    203K
endlessh-1.1-r1.apk                                25-May-2025 07:51    9834
endlessh-doc-1.1-r1.apk                            25-May-2025 07:51    2725
enjoy-0.3-r1.apk                                   25-Oct-2024 20:11     11K
enlighten-0.9.2-r1.apk                             25-Oct-2024 20:11    7496
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 20:11    3594
envconsul-0.13.4-r1.apk                            04-Dec-2025 14:22      5M
envsubst-0.1-r1.apk                                25-Oct-2024 20:11    5049
epic6-0_git20250821-r0.apk                         06-Sep-2025 20:30    359K
epic6-doc-0_git20250821-r0.apk                     06-Sep-2025 20:30     17K
epic6-script-0_git20250821-r0.apk                  06-Sep-2025 20:30    149K
epoch-1.3.0-r2.apk                                 25-Oct-2024 20:11     54K
epr-2.4.15-r1.apk                                  25-Oct-2024 20:11     16K
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 20:11     24K
ergo-ldap-0.0.1-r22.apk                            04-Dec-2025 14:22      2M
ergo-ldap-doc-0.0.1-r22.apk                        04-Dec-2025 14:22    2341
errands-46.2.10-r0.apk                             06-Jan-2026 13:45     85K
errands-lang-46.2.10-r0.apk                        06-Jan-2026 13:45     78K
espeakup-0.90-r2.apk                               25-Oct-2024 20:11     11K
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 20:11    1856
esptool-4.8.1-r0.apk                               25-Oct-2024 20:11    424K
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 20:11    549K
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 20:11    561K
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 20:11     45K
eva-0.3.1-r2.apk                                   25-Oct-2024 20:11    629K
evolution-etesync-1.1.2-r0.apk                     11-Jan-2026 17:43     58K
evolution-etesync-lang-1.1.2-r0.apk                11-Jan-2026 17:43     25K
evolution-on-3.24.4-r1.apk                         18-Sep-2025 17:36     11K
eww-0.6.0-r0.apk                                   09-Nov-2025 19:43      3M
exabgp-4.2.24-r1.apk                               06-Sep-2025 20:30    385K
exabgp-doc-4.2.24-r1.apk                           06-Sep-2025 20:30    8291
exabgp-openrc-4.2.24-r1.apk                        06-Sep-2025 20:30    2305
exabgp-pyc-4.2.24-r1.apk                           06-Sep-2025 20:30    778K
exercism-3.2.0-r18.apk                             04-Dec-2025 14:22      4M
exercism-bash-completion-3.2.0-r18.apk             04-Dec-2025 14:22    2016
exercism-fish-completion-3.2.0-r18.apk             04-Dec-2025 14:22    2422
exercism-zsh-completion-3.2.0-r18.apk              04-Dec-2025 14:22    2166
extrace-0.9-r0.apk                                 25-Oct-2024 20:11    9754
extrace-doc-0.9-r0.apk                             25-Oct-2024 20:11    3600
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 20:11     40M
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 20:11    6860
extundelete-0.2.4-r1.apk                           25-Oct-2024 20:11     44K
fabric-3.2.2-r1.apk                                25-Oct-2024 20:11     55K
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 20:11     60K
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 20:11     30K
fast-double-parser-0.8.1-r0.apk                    20-Oct-2025 10:34     25K
fastd-23-r0.apk                                    27-Jan-2025 21:33     76K
fastd-doc-23-r0.apk                                27-Jan-2025 21:33    3356
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33    1741
fatback-1.3-r2.apk                                 25-Oct-2024 20:11     30K
fatback-doc-1.3-r2.apk                             25-Oct-2024 20:11     16K
fathom-1.3.1-r18.apk                               04-Dec-2025 14:22      5M
fatrace-0.18.0-r0.apk                              27-Jul-2025 19:28     10K
fatrace-doc-0.18.0-r0.apk                          27-Jul-2025 19:28    3394
fatresize-1.1.0-r1.apk                             25-Oct-2024 20:11    9767
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 20:11     15K
faultstat-0.01.11-r0.apk                           25-Oct-2024 20:11     14K
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 20:11    2352
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 20:11    3106
faust-2.79.3-r0.apk                                07-Jun-2025 17:04      8M
faust-dev-2.79.3-r0.apk                            07-Jun-2025 17:04      1M
faust-doc-2.79.3-r0.apk                            07-Jun-2025 17:04     17M
faust-static-2.79.3-r0.apk                         07-Jun-2025 17:04    536K
faust-tools-2.79.3-r0.apk                          07-Jun-2025 17:04    121K
faust-vim-2.79.3-r0.apk                            07-Jun-2025 17:04    2667
fava-1.28-r0.apk                                   25-Oct-2024 20:11      1M
fava-pyc-1.28-r0.apk                               25-Oct-2024 20:11    164K
fbcur-1.0.1-r1.apk                                 25-Oct-2024 20:11    4812
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 20:11    2219
fbdebug-1.0.1-r0.apk                               19-Dec-2025 21:47    5747
fceux-2.6.6-r4.apk                                 27-Sep-2025 13:34      3M
fceux-doc-2.6.6-r4.apk                             27-Sep-2025 13:34    105K
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 20:11     60K
featherpad-1.6.2-r0.apk                            28-Nov-2025 19:44    784K
featherpad-lang-1.6.2-r0.apk                       28-Nov-2025 19:44    485K
felix-2.16.1-r0.apk                                16-May-2025 08:34    684K
femto-2.24.1-r0.apk                                06-Sep-2025 20:30     65K
femto-doc-2.24.1-r0.apk                            06-Sep-2025 20:30     48K
fff-2.2-r0.apk                                     25-Oct-2024 20:11     11K
fff-doc-2.2-r0.apk                                 25-Oct-2024 20:11    9199
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 20:11    345K
ffmpeg4-4.4.6-r0.apk                               04-Jan-2026 01:25     39K
ffmpeg4-dev-4.4.6-r0.apk                           04-Jan-2026 01:25    281K
ffmpeg4-libavcodec-4.4.6-r0.apk                    04-Jan-2026 01:25      6M
ffmpeg4-libavdevice-4.4.6-r0.apk                   04-Jan-2026 01:25     57K
ffmpeg4-libavfilter-4.4.6-r0.apk                   04-Jan-2026 01:25      2M
ffmpeg4-libavformat-4.4.6-r0.apk                   04-Jan-2026 01:25      1M
ffmpeg4-libavutil-4.4.6-r0.apk                     04-Jan-2026 01:25    301K
ffmpeg4-libpostproc-4.4.6-r0.apk                   04-Jan-2026 01:25     27K
ffmpeg4-libswresample-4.4.6-r0.apk                 04-Jan-2026 01:25     48K
ffmpeg4-libswscale-4.4.6-r0.apk                    04-Jan-2026 01:25    151K
ffms2-5.0-r2.apk                                   27-Sep-2025 13:34     78K
ffms2-dev-5.0-r2.apk                               27-Sep-2025 13:34    7706
ffms2-doc-5.0-r2.apk                               27-Sep-2025 13:34     30K
ffsend-0.2.76-r4.apk                               25-Oct-2024 20:11      2M
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 20:11    3700
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 20:11    3661
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 20:11    4676
fheroes2-1.1.13-r0.apk                             22-Dec-2025 16:42      2M
fheroes2-lang-1.1.13-r0.apk                        22-Dec-2025 16:42      2M
fildesh-0.2.0-r0.apk                               25-Oct-2024 20:11     66K
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 20:11    2149
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 20:11    3629
filebrowser-2.27.0-r16.apk                         04-Dec-2025 14:22      8M
filebrowser-openrc-2.27.0-r16.apk                  04-Dec-2025 14:22    1865
fileshelter-6.2.0-r4.apk                           27-Sep-2025 22:22    339K
fileshelter-openrc-6.2.0-r4.apk                    27-Sep-2025 22:22    1737
filite-0.3.0-r2.apk                                25-Oct-2024 20:11      1M
findtow-0.1-r0.apk                                 25-Oct-2024 20:11    5056
finger-0.5-r0.apk                                  25-Oct-2024 20:11    6965
finger-doc-0.5-r0.apk                              25-Oct-2024 20:11    3890
firehol-3.1.7-r2.apk                               25-Oct-2024 20:11     85K
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 20:11    675K
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 20:11    2100
flamelens-0.3.1-r0.apk                             29-Apr-2025 07:46      1M
flamelens-doc-0.3.1-r0.apk                         29-Apr-2025 07:46    3750
flann-1.9.2-r1.apk                                 17-Feb-2025 09:34      2M
flann-dev-1.9.2-r1.apk                             17-Feb-2025 09:34    951K
flann-doc-1.9.2-r1.apk                             17-Feb-2025 09:34    2592
flare-engine-1.14-r1.apk                           05-Nov-2025 11:39      5M
flare-engine-doc-1.14-r1.apk                       05-Nov-2025 11:39    2525
flare-game-1.14-r0.apk                             25-Oct-2024 20:11    2240
flatseal-2.3.1-r0.apk                              19-Jun-2025 15:30     43K
flatseal-doc-2.3.1-r0.apk                          19-Jun-2025 15:30    8660
flatseal-lang-2.3.1-r0.apk                         19-Jun-2025 15:30     79K
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 20:11    4346
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06      1M
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06    2169
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06    6136
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06    1958
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06    2280
flint-3.4.0-r0.apk                                 26-Nov-2025 08:26      5M
flint-dev-3.4.0-r0.apk                             26-Nov-2025 08:26    316K
flowd-0.9.1-r11.apk                                01-Jul-2025 19:19     78K
flowd-dev-0.9.1-r11.apk                            01-Jul-2025 19:19    8278
flowd-doc-0.9.1-r11.apk                            01-Jul-2025 19:19     10K
flowd-openrc-0.9.1-r11.apk                         01-Jul-2025 19:19    1940
fluent-bit-4.2.0-r0.apk                            04-Dec-2025 14:22      8M
fluent-bit-dev-4.2.0-r0.apk                        04-Dec-2025 14:22    141K
fluent-bit-openrc-4.2.0-r0.apk                     04-Dec-2025 14:22    1741
fnf-0.1-r0.apk                                     25-Oct-2024 20:11     18K
fnf-doc-0.1-r0.apk                                 25-Oct-2024 20:11    4703
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 20:11    329K
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 20:11    8675
font-andika-six-6.210-r0.apk                       28-Sep-2025 11:20      1M
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 20:11    264K
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 12:25    357K
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 20:11     18M
font-cascadia-2407.24-r1.apk                       27-May-2025 14:41    1295
font-cascadia-code-2407.24-r1.apk                  27-May-2025 14:41    526K
font-cascadia-mono-2407.24-r1.apk                  27-May-2025 14:41    507K
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 20:11    792K
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 20:11    626K
font-comic-neue-2.51-r0.apk                        25-Oct-2024 20:11    249K
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 20:11   1004K
font-commit-mono-1.143-r0.apk                      25-Oct-2024 20:11    251K
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 20:11    110K
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 20:11    1259
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 20:11    5674
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 20:11    316K
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:11    316K
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 20:11    316K
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 20:11    316K
font-fira-code-6.2-r0.apk                          25-Oct-2024 20:11    836K
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 20:11    145K
font-firamath-0.3.4-r0.apk                         25-Oct-2024 20:11    118K
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 20:11    205K
font-hanazono-20170904-r2.apk                      15-Sep-2025 12:59     29M
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 20:11    281K
font-katex-0.16.2-r0.apk                           25-Oct-2024 20:11    852K
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 20:11    652K
font-monaspace-1.101-r0.apk                        25-Oct-2024 20:11    1490
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 20:11      2M
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 20:11      2M
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 20:11      2M
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 20:11      3M
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 20:11      2M
font-monocraft-4.0-r0.apk                          25-Oct-2024 20:11    677K
font-openmoji-16.0.0-r0.apk                        11-Aug-2025 06:31      1M
font-siji-20190218_git-r2.apk                      25-Oct-2024 20:11     24K
font-stix-otf-2.13-r0.apk                          25-Oct-2024 20:11      2M
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 20:11    430K
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 20:11     62K
font-terminus-ttf-4.49.3-r0.apk                    11-Jul-2025 19:54    538K
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 20:11    199K
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 20:11    568K
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 20:11     58K
foolsm-1.0.21-r0.apk                               25-Oct-2024 20:11     34K
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 20:11    3945
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 20:11    1586
formiko-1.5.0-r1.apk                               07-Jan-2026 10:30    107K
formiko-doc-1.5.0-r1.apk                           07-Jan-2026 10:30    8744
formiko-pyc-1.5.0-r1.apk                           07-Jan-2026 10:30     61K
fpc-3.2.2-r4.apk                                   25-Oct-2024 20:11     70M
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 20:11      1M
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 20:11      6M
fplll-5.5.0-r0.apk                                 18-Nov-2024 18:00     53K
fplll-dev-5.5.0-r0.apk                             18-Nov-2024 18:00     78K
fplll-libs-5.5.0-r0.apk                            18-Nov-2024 18:00      6M
fplll-static-5.5.0-r0.apk                          18-Nov-2024 18:00      7M
fplll-strategies-5.5.0-r0.apk                      18-Nov-2024 18:00      2M
fpp-0.9.5-r0.apk                                   25-Oct-2024 20:11     29K
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 20:11    5729
fq-0.16.0-r0.apk                                   12-Dec-2025 19:57      5M
freealut-1.1.0-r1.apk                              25-Oct-2024 20:11     19K
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 20:11     25K
freediameter-1.5.0-r1.apk                          25-Oct-2024 20:11    9239
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 20:11     54K
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 20:11    323K
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 20:11    159K
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 20:11     87K
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 20:11      3M
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 20:11    2540
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 20:11      1M
freshrss-1.28.0-r0.apk                             02-Jan-2026 01:39      2M
freshrss-doc-1.28.0-r0.apk                         02-Jan-2026 01:39    912K
freshrss-lang-1.28.0-r0.apk                        02-Jan-2026 01:39    507K
freshrss-mysql-1.28.0-r0.apk                       02-Jan-2026 01:39    1295
freshrss-openrc-1.28.0-r0.apk                      02-Jan-2026 01:39    2550
freshrss-pgsql-1.28.0-r0.apk                       02-Jan-2026 01:39    1303
freshrss-sqlite-1.28.0-r0.apk                      02-Jan-2026 01:39    1301
freshrss-themes-1.28.0-r0.apk                      02-Jan-2026 01:39      1M
fulcrum-1.9.8-r1.apk                               25-Oct-2024 20:11    967K
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 20:11    8103
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 20:11     22K
fungw-1.2.2-r0.apk                                 19-Sep-2025 18:27     13K
fungw-c-1.2.2-r0.apk                               19-Sep-2025 18:27    8529
fungw-cli-1.2.2-r0.apk                             19-Sep-2025 18:27     23K
fungw-dev-1.2.2-r0.apk                             19-Sep-2025 18:27    8000
fungw-doc-1.2.2-r0.apk                             19-Sep-2025 18:27     13K
fungw-duktape-1.2.2-r0.apk                         19-Sep-2025 18:27     16K
fungw-fawk-1.2.2-r0.apk                            19-Sep-2025 18:27    112K
fungw-lua-1.2.2-r0.apk                             19-Sep-2025 18:27     14K
fungw-mujs-1.2.2-r0.apk                            19-Sep-2025 18:27     16K
fungw-perl-1.2.2-r0.apk                            19-Sep-2025 18:27     46K
fungw-python3-1.2.2-r0.apk                         19-Sep-2025 18:27     26K
fungw-tcl-1.2.2-r0.apk                             19-Sep-2025 18:27     13K
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 20:11     21K
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 20:11    1746
fuseiso-20070708-r0.apk                            14-Apr-2025 01:10     17K
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 01:10    2649
fusesoc-2.3-r0.apk                                 25-Oct-2024 20:11     46K
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 20:11     89K
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 20:11      6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 20:11      1M
fxload-2008.10.13-r0.apk                           06-Dec-2025 23:07    8993
fynedesk-0.4.0-r2.apk                              04-Dec-2025 14:22     13M
gambit-4.9.5-r1.apk                                07-Apr-2025 07:48     10M
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 07:48      7M
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 07:48    4439
game-devices-udev-0.25-r0.apk                      23-Oct-2025 05:08    7063
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:26     68K
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:26    5226
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:26    7724
gammastep-2.0.9-r3.apk                             25-Oct-2024 20:11     90K
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 20:11     14K
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 20:11     78K
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 20:11     17K
gatling-0.16-r6.apk                                25-Oct-2024 20:11    158K
gatling-doc-0.16-r6.apk                            25-Oct-2024 20:11    9294
gatling-openrc-0.16-r6.apk                         25-Oct-2024 20:11    2852
gaupol-1.12-r2.apk                                 25-Oct-2024 20:11    276K
gaupol-doc-1.12-r2.apk                             25-Oct-2024 20:11    2425
gaupol-lang-1.12-r2.apk                            25-Oct-2024 20:11    277K
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 20:11    419K
gb-0.4.4-r36.apk                                   04-Dec-2025 14:22      8M
gcli-2.9.1-r0.apk                                  09-Nov-2025 16:39    130K
gcli-doc-2.9.1-r0.apk                              09-Nov-2025 16:39     38K
gdcm-3.2.2-r3.apk                                  02-Dec-2025 05:43    436K
gdcm-dev-3.2.2-r3.apk                              02-Dec-2025 05:43    450K
gdcm-doc-3.2.2-r3.apk                              02-Dec-2025 05:43     55K
gdcm-doc-html-3.2.2-r3.apk                         02-Dec-2025 05:43      9M
gdcm-doc-pdf-3.2.2-r3.apk                          02-Dec-2025 05:43     14M
gearman-dev-1.1.22-r0.apk                          06-Sep-2025 20:30      1M
gearman-libs-1.1.22-r0.apk                         06-Sep-2025 20:30     87K
gearmand-1.1.22-r0.apk                             06-Sep-2025 20:30    188K
gearmand-doc-1.1.22-r0.apk                         06-Sep-2025 20:30    189K
gearmand-openrc-1.1.22-r0.apk                      06-Sep-2025 20:30    1852
gede-2.22.1-r0.apk                                 02-Nov-2025 20:48    441K
genact-1.4.2-r0.apk                                25-Oct-2024 20:11      1M
geoclue-stumbler-1.1-r0.apk                        04-Oct-2025 17:03     45K
geodns-3.3.0-r18.apk                               04-Dec-2025 14:22      5M
geodns-logs-3.3.0-r18.apk                          04-Dec-2025 14:22      5M
geodns-openrc-3.3.0-r18.apk                        04-Dec-2025 14:22    1824
geomyidae-0.34-r2.apk                              25-Oct-2024 20:11     16K
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 20:11    7836
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 20:11    2037
geonames-0.3.1-r2.apk                              25-Oct-2024 20:11    827K
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 20:11    3086
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 20:11     13K
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 20:11      5M
getmail6-6.19.10-r0.apk                            19-Aug-2025 11:29     70K
getmail6-doc-6.19.10-r0.apk                        19-Aug-2025 11:29    139K
getmail6-pyc-6.19.10-r0.apk                        19-Aug-2025 11:29    103K
getssl-2.48-r0.apk                                 25-Oct-2024 20:11     82K
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:39    715K
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:39    497K
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:39    229K
gf2x-1.3.0-r1.apk                                  25-Oct-2024 20:11     42K
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 20:11     64K
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 20:11     39K
ghq-1.8.0-r6.apk                                   04-Dec-2025 14:22      4M
ghq-bash-completion-1.8.0-r6.apk                   04-Dec-2025 14:22    1834
ghq-doc-1.8.0-r6.apk                               04-Dec-2025 14:22    5636
ghq-fish-completion-1.8.0-r6.apk                   04-Dec-2025 14:22    2610
ghq-zsh-completion-1.8.0-r6.apk                    04-Dec-2025 14:22    2548
gimp-plugin-gmic-3.6.0-r2.apk                      03-Jan-2026 16:23      1M
ginger-2.4.0-r7.apk                                25-Oct-2024 20:11    257K
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 20:11    125K
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 20:11    207K
gingerbase-2.3.0-r7.apk                            25-Oct-2024 20:11    195K
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 20:11     53K
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 20:11     61K
git-bug-0.8.1-r6.apk                               04-Dec-2025 14:22     10M
git-bug-bash-completion-0.8.1-r6.apk               04-Dec-2025 14:22    5404
git-bug-doc-0.8.1-r6.apk                           04-Dec-2025 14:22     17K
git-bug-fish-completion-0.8.1-r6.apk               04-Dec-2025 14:22    4438
git-bug-zsh-completion-0.8.1-r6.apk                04-Dec-2025 14:22    4151
git-extras-7.4.0-r0.apk                            22-Jul-2025 20:51     57K
git-extras-bash-completion-7.4.0-r0.apk            22-Jul-2025 20:51    2943
git-extras-doc-7.4.0-r0.apk                        22-Jul-2025 20:51     65K
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38    876K
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38    6395
git-quick-stats-2.8.0-r0.apk                       11-Sep-2025 08:18     15K
git-quick-stats-doc-2.8.0-r0.apk                   11-Sep-2025 08:18    3554
git-revise-0.7.0-r5.apk                            25-Oct-2024 20:11     24K
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 20:11    5077
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 20:11     42K
git-secret-0.5.0-r0.apk                            25-Oct-2024 20:11     15K
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 20:11     17K
git2json-0.2.3-r8.apk                              25-Oct-2024 20:11    7601
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 20:11    5826
gitoxide-0.14.0-r1.apk                             25-Oct-2024 20:11      3M
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36    346K
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36     17K
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36     19K
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36    379K
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36     52K
gl2ps-1.4.2-r0.apk                                 12-Oct-2025 21:33     37K
gl2ps-dev-1.4.2-r0.apk                             12-Oct-2025 21:33    4447
gl2ps-doc-1.4.2-r0.apk                             12-Oct-2025 21:33    230K
gl2ps-static-1.4.2-r0.apk                          12-Oct-2025 21:33     43K
glfw-wayland-3.3.8-r3.apk                          25-Oct-2024 20:11     63K
glfw-wayland-dbg-3.3.8-r3.apk                      25-Oct-2024 20:11    179K
glfw-wayland-dev-3.3.8-r3.apk                      25-Oct-2024 20:11     46K
gliderlabs-sigil-0.11.0-r10.apk                    04-Dec-2025 14:22      3M
gliderlabs-sigil-doc-0.11.0-r10.apk                04-Dec-2025 14:22    2480
glmark2-2023.01-r1.apk                             25-Oct-2024 20:11      8M
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 20:11     13K
gloox-1.0.28-r0.apk                                25-Oct-2024 20:11    410K
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 20:11    878K
glow-2.1.1-r5.apk                                  04-Dec-2025 14:22      6M
glow-bash-completion-2.1.1-r5.apk                  04-Dec-2025 14:22    6249
glow-doc-2.1.1-r5.apk                              04-Dec-2025 14:22    3283
glow-fish-completion-2.1.1-r5.apk                  04-Dec-2025 14:22    4428
glow-zsh-completion-2.1.1-r5.apk                   04-Dec-2025 14:22    4142
glslviewer-3.2.4-r2.apk                            28-Aug-2025 18:04      2M
gmcapsule-0.9.8-r0.apk                             07-Oct-2025 09:39     36K
gmcapsule-openrc-0.9.8-r0.apk                      07-Oct-2025 09:39    2027
gmcapsule-pyc-0.9.8-r0.apk                         07-Oct-2025 09:39     61K
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 09:34     40K
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 09:34    4162
gmic-3.6.0-r2.apk                                  03-Jan-2026 16:23     12M
gmic-bash-completion-3.6.0-r2.apk                  03-Jan-2026 16:23     29K
gmic-dev-3.6.0-r2.apk                              03-Jan-2026 16:23    7805
gmic-doc-3.6.0-r2.apk                              03-Jan-2026 16:23    223K
gmic-libs-3.6.0-r2.apk                             03-Jan-2026 16:23      1M
gmic-qt-3.6.0-r2.apk                               03-Jan-2026 16:23      2M
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26    234K
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26     14K
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26    2248
gnome-common-3.18.0-r3.apk                         25-Oct-2024 20:11     12K
gnome-latex-3.49.0-r0.apk                          06-Jan-2026 14:12    368K
gnome-latex-doc-3.49.0-r0.apk                      06-Jan-2026 14:12    110K
gnome-latex-lang-3.49.0-r0.apk                     06-Jan-2026 14:12    530K
gnome-mahjongg-49.0.1-r0.apk                       18-Oct-2025 21:03      2M
gnome-mahjongg-doc-49.0.1-r0.apk                   18-Oct-2025 21:03    2250
gnome-mahjongg-lang-49.0.1-r0.apk                  18-Oct-2025 21:03    170K
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 20:11    451K
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 20:11     25K
gnome-mimeapps-0.1-r1.apk                          09-Aug-2025 02:08    3779
gnu-apl-1.9-r0.apk                                 25-Oct-2024 20:11      1M
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 20:11    560K
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 20:11      2M
gnucobol-3.2-r0.apk                                28-Jul-2025 14:02    771K
gnucobol-doc-3.2-r0.apk                            28-Jul-2025 14:02     71K
gnucobol-lang-3.2-r0.apk                           28-Jul-2025 14:02    316K
go-away-0.7.0-r1.apk                               06-Sep-2025 20:30      8M
go-away-openrc-0.7.0-r1.apk                        06-Sep-2025 20:30    2318
go-jsonnet-0.21.0-r5.apk                           04-Dec-2025 14:22      7M
go-mtpfs-1.0.0-r33.apk                             02-Jan-2026 20:04      1M
go-passbolt-cli-0.3.2-r8.apk                       04-Dec-2025 14:22      6M
go-tools-0.40.0-r0.apk                             12-Dec-2025 15:18     48M
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 20:11      2M
gobuster-3.8.0-r3.apk                              04-Dec-2025 14:22      4M
godini-1.0.0-r5.apk                                04-Dec-2025 14:22      2M
godini-doc-1.0.0-r5.apk                            04-Dec-2025 14:22     15K
godot-4.5.1-r0.apk                                 18-Dec-2025 18:02     63M
godot-doc-4.5.1-r0.apk                             18-Dec-2025 18:02    4662
godot-templates-4.5.1-r0.apk                       18-Dec-2025 18:02     47M
gomp-1.0.0-r17.apk                                 04-Dec-2025 14:22      4M
goomwwm-1.0.0-r5.apk                               25-Oct-2024 20:11     46K
goreman-0.3.15-r18.apk                             04-Dec-2025 14:22      3M
goshs-1.1.3-r0.apk                                 18-Dec-2025 21:15      7M
goshs-doc-1.1.3-r0.apk                             18-Dec-2025 21:15    2311
gotify-cli-2.3.2-r10.apk                           04-Dec-2025 14:22      5M
goxel-0.15.1-r0.apk                                25-Oct-2024 20:11      2M
gprbuild-25.0.0-r0.apk                             29-Oct-2025 02:55     13M
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36     53K
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36     28K
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36    285K
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36     17K
gr-satellites-5.5.0-r6.apk                         12-Oct-2025 12:13    513K
gr-satellites-dev-5.5.0-r6.apk                     12-Oct-2025 12:13     13K
gr-satellites-doc-5.5.0-r6.apk                     12-Oct-2025 12:13    4626
gradia-1.11.1-r0.apk                               06-Jan-2026 14:50    786K
gradia-dev-1.11.1-r0.apk                           06-Jan-2026 14:50    2591
gradia-lang-1.11.1-r0.apk                          06-Jan-2026 14:50     64K
grcov-0.8.20-r0.apk                                11-Nov-2024 10:03      2M
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 20:11     20K
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:11    2248
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 20:11    3359
grip-4.2.4-r0.apk                                  25-Oct-2024 20:11    388K
grip-doc-4.2.4-r0.apk                              25-Oct-2024 20:11    6327
grip-lang-4.2.4-r0.apk                             25-Oct-2024 20:11    144K
grpc-health-check-0.1.1-r3.apk                     25-Oct-2024 20:11   1019K
grpcui-1.5.1-r4.apk                                04-Dec-2025 14:22      9M
grpcurl-1.9.3-r8.apk                               04-Dec-2025 14:22      9M
gsettings-qt-1.1.0-r0.apk                          09-Dec-2025 11:12     31K
gsettings-qt-dev-1.1.0-r0.apk                      09-Dec-2025 11:12    3633
gsimplecal-2.5.2-r0.apk                            16-Oct-2025 18:48     17K
gsimplecal-doc-2.5.2-r0.apk                        16-Oct-2025 18:48    5925
gssdp-1.6.4-r1.apk                                 09-Aug-2025 02:08     47K
gssdp-dev-1.6.4-r1.apk                             09-Aug-2025 02:08     16K
gst-audio-thumbnailer-1.0_alpha1-r2.apk            20-Dec-2025 19:24    281K
gst-thumbnailers-1.0_alpha1-r2.apk                 20-Dec-2025 19:24    1300
gst-video-thumbnailer-1.0_alpha1-r2.apk            20-Dec-2025 19:24    296K
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 09:34    511K
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 09:34    310K
gtimelog-0.12_git20251114-r0.apk                   18-Nov-2025 20:37    219K
gtimelog-pyc-0.12_git20251114-r0.apk               18-Nov-2025 20:37    102K
gtk-session-lock-0.2.0-r0.apk                      31-Jan-2025 16:16     38K
gtk-session-lock-dev-0.2.0-r0.apk                  31-Jan-2025 16:16    5406
gtkhash-1.5-r0.apk                                 25-Oct-2024 20:11     90K
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 20:11     47K
gtklock-4.0.0-r0.apk                               31-Jan-2025 16:16     20K
gtklock-doc-4.0.0-r0.apk                           31-Jan-2025 16:16    3043
gtkwave-3.3.120-r0.apk                             25-Oct-2024 20:11      3M
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 20:11     27K
gtranslator-49.0-r0.apk                            15-Sep-2025 07:46    144K
gtranslator-doc-49.0-r0.apk                        15-Sep-2025 07:46    638K
gtranslator-lang-49.0-r0.apk                       15-Sep-2025 07:46    593K
guake-3.10.1-r0.apk                                24-Nov-2025 18:07    304K
guake-lang-3.10.1-r0.apk                           24-Nov-2025 18:07    194K
guake-pyc-3.10.1-r0.apk                            24-Nov-2025 18:07    186K
guestfs-tools-1.56.1-r0.apk                        22-Jul-2025 22:05    279K
guetzli-0_git20191025-r2.apk                       25-May-2025 07:51    167K
guetzli-dev-0_git20191025-r2.apk                   25-May-2025 07:51      2M
gufw-24.04-r3.apk                                  19-Nov-2024 21:42    596K
gufw-doc-24.04-r3.apk                              19-Nov-2024 21:42    4593
gufw-lang-24.04-r3.apk                             19-Nov-2024 21:42    855K
gufw-pyc-24.04-r3.apk                              19-Nov-2024 21:42     65K
guish-2.6.11-r0.apk                                24-Dec-2024 10:42    103K
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42     61K
gummiboot-48.1-r11.apk                             20-Jul-2025 21:07     44K
gummiboot-doc-48.1-r11.apk                         20-Jul-2025 21:07    3000
gummiboot-efistub-48.1-r11.apk                     20-Jul-2025 21:07     21K
gupnp-1.6.9-r1.apk                                 09-Aug-2025 02:08     91K
gupnp-av-0.14.4-r1.apk                             09-Aug-2025 02:08     80K
gupnp-av-dev-0.14.4-r1.apk                         09-Aug-2025 02:08     42K
gupnp-dev-1.6.9-r1.apk                             09-Aug-2025 02:08     50K
gupnp-dlna-0.12.0-r1.apk                           09-Aug-2025 02:08     69K
gupnp-dlna-dev-0.12.0-r1.apk                       09-Aug-2025 02:08     24K
gupnp-doc-1.6.9-r1.apk                             09-Aug-2025 02:08    3893
gx-0.14.3-r35.apk                                  04-Dec-2025 14:22      5M
gx-doc-0.14.3-r35.apk                              04-Dec-2025 14:22    2332
gx-go-1.9.0-r37.apk                                04-Dec-2025 14:22      5M
gx-go-doc-1.9.0-r37.apk                            04-Dec-2025 14:22    2324
gyosu-0.2.0-r3.apk                                 04-Dec-2025 14:22      2M
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 20:11    109K
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 20:11    8960
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 20:11    2784
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 20:11    103K
habitctl-0.1.0-r2.apk                              25-Oct-2024 20:11    332K
halp-0.2.0-r0.apk                                  25-Oct-2024 20:11    925K
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 20:11    2227
halp-doc-0.2.0-r0.apk                              25-Oct-2024 20:11    7043
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 20:11    2002
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 20:11    2461
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 20:11    156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 20:11    2019
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 20:11    116K
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 20:11    206K
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 20:11    358K
handlebars-1.0.0-r1.apk                            25-Oct-2024 20:11    107K
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 20:11     32K
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 20:11     10K
haproxy-dataplaneapi2-2.9.18-r2.apk                04-Dec-2025 14:22     11M
haproxy-dataplaneapi2-openrc-2.9.18-r2.apk         04-Dec-2025 14:22    2179
hardinfo2-2.2.13-r0.apk                            28-Sep-2025 11:06      3M
hardinfo2-doc-2.2.13-r0.apk                        28-Sep-2025 11:06    3075
hardinfo2-lang-2.2.13-r0.apk                       28-Sep-2025 11:06    292K
hardinfo2-openrc-2.2.13-r0.apk                     28-Sep-2025 11:06    2001
harminv-1.4.2-r1.apk                               25-Oct-2024 20:11    8147
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 20:11    3201
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 20:11    5799
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 20:11     15K
hashcat-6.2.6-r0.apk                               25-Oct-2024 20:11     67M
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 20:11      2M
hatch-1.16.1-r0.apk                                30-Nov-2025 20:01    115K
hatch-pyc-1.16.1-r0.apk                            30-Nov-2025 20:01    246K
hatop-0.8.2-r0.apk                                 25-Oct-2024 20:11     18K
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 20:11    3067
haxe-4.3.3-r2.apk                                  31-Dec-2025 18:35     10M
haxe-doc-4.3.3-r2.apk                              31-Dec-2025 18:35    7991
hctl-0.2.7-r0.apk                                  14-May-2025 00:04      1M
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 20:11     33K
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 20:11    2240
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 20:11     34K
hdf4-4.2.15-r2.apk                                 25-Oct-2024 20:11    240K
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 20:11    101K
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 20:11    6154
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 20:11    186K
heisenbridge-1.15.4-r0.apk                         06-Oct-2025 05:07     67K
heisenbridge-pyc-1.15.4-r0.apk                     06-Oct-2025 05:07    155K
helm-diff-3.13.1-r1.apk                            04-Dec-2025 14:22     23M
helm-ls-0.5.4-r1.apk                               04-Dec-2025 14:22     20M
helm-ls-doc-0.5.4-r1.apk                           04-Dec-2025 14:22    2305
helm-mapkubeapis-0.6.1-r1.apk                      04-Dec-2025 14:22     22M
helm-unittest-1.0.3-r1.apk                         04-Dec-2025 14:22     13M
helmfile-1.1.8-r1.apk                              04-Dec-2025 14:22     63M
helmfile-bash-completion-1.1.8-r1.apk              04-Dec-2025 14:22    6259
helmfile-doc-1.1.8-r1.apk                          04-Dec-2025 14:22    2309
helmfile-fish-completion-1.1.8-r1.apk              04-Dec-2025 14:22    4433
helmfile-zsh-completion-1.1.8-r1.apk               04-Dec-2025 14:22    4137
herbe-1.0.0-r0.apk                                 25-Oct-2024 20:11    5781
hex-0.6.0-r0.apk                                   25-Oct-2024 20:11    298K
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 20:11     19K
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 20:11    5728
hfst-3.16.2-r0.apk                                 29-Mar-2025 15:58      1M
hfst-dev-3.16.2-r0.apk                             29-Mar-2025 15:58    209K
hfst-doc-3.16.2-r0.apk                             29-Mar-2025 15:58     70K
hfst-libs-3.16.2-r0.apk                            29-Mar-2025 15:58      2M
hiawatha-11.6-r1.apk                               27-May-2025 11:10    206K
hiawatha-doc-11.6-r1.apk                           27-May-2025 11:10     21K
hiawatha-letsencrypt-11.6-r1.apk                   27-May-2025 11:10     17K
hiawatha-openrc-11.6-r1.apk                        27-May-2025 11:10    1727
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 20:11     74K
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 20:11    129K
highfive-2.10.1-r0.apk                             15-Jan-2025 02:52     75K
hilbish-2.3.4-r10.apk                              04-Dec-2025 14:22      4M
hilbish-doc-2.3.4-r10.apk                          04-Dec-2025 14:22     25K
himitsu-secret-service-0.1_git20250705-r1.apk      20-Oct-2025 13:50     16K
himitsu-secret-service-doc-0.1_git20250705-r1.apk  20-Oct-2025 13:50    4086
himitsu-secret-service-pyc-0.1_git20250705-r1.apk  20-Oct-2025 13:50     29K
hiprompt-gtk-py-0.8.0-r1.apk                       17-Sep-2025 10:03    8233
hitide-0.15.0-r0.apk                               25-Oct-2024 20:11      2M
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11    2190
homebank-5.9.5-r0.apk                              14-Oct-2025 14:54      2M
homebank-lang-5.9.5-r0.apk                         14-Oct-2025 14:54    942K
horizon-0.9.6-r9.apk                               25-Oct-2024 20:11    225K
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 20:11      4M
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 20:11    4994
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 20:11     21K
horizon-image-0.9.6-r9.apk                         25-Oct-2024 20:11     73K
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 20:11     86K
hping3-20051105-r4.apk                             25-Oct-2024 20:11     82K
hping3-doc-20051105-r4.apk                         25-Oct-2024 20:11     17K
hpnssh-18.8.0-r0.apk                               28-Nov-2025 19:41      3M
hpnssh-doc-18.8.0-r0.apk                           28-Nov-2025 19:41    101K
hsetroot-1.0.5-r1.apk                              25-Oct-2024 20:11     11K
hstdb-2.1.0-r2.apk                                 25-Oct-2024 20:11    894K
htmlcxx-0.87-r1.apk                                25-Oct-2024 20:11     66K
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 20:11     21K
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 20:11    3444
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 20:11    2363
httplz-2.2.0-r0.apk                                18-May-2025 15:39      1M
httplz-doc-2.2.0-r0.apk                            18-May-2025 15:39    2308
httpx-1.7.4-r0.apk                                 09-Dec-2025 23:38     18M
httpx-doc-1.7.4-r0.apk                             09-Dec-2025 23:38    2331
httrack-3.49.2-r5.apk                              25-Oct-2024 20:11    749K
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 20:11    528K
hub-2.14.2-r36.apk                                 04-Dec-2025 14:22      3M
hub-bash-completion-2.14.2-r36.apk                 04-Dec-2025 14:22    4698
hub-doc-2.14.2-r36.apk                             04-Dec-2025 14:22     42K
hub-fish-completion-2.14.2-r36.apk                 04-Dec-2025 14:22    3384
hub-zsh-completion-2.14.2-r36.apk                  04-Dec-2025 14:22    3800
hubble-cli-0.13.6-r10.apk                          04-Dec-2025 14:22     19M
hubble-cli-bash-completion-0.13.6-r10.apk          04-Dec-2025 14:22    5190
hubble-cli-fish-completion-0.13.6-r10.apk          04-Dec-2025 14:22    4436
hubble-cli-zsh-completion-0.13.6-r10.apk           04-Dec-2025 14:22    4151
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 20:11    731K
hurl-7.1.0-r0.apk                                  05-Dec-2025 18:41      2M
hurl-bash-completion-7.1.0-r0.apk                  05-Dec-2025 18:41    2369
hurl-doc-7.1.0-r0.apk                              05-Dec-2025 18:41    9371
hurl-fish-completion-7.1.0-r0.apk                  05-Dec-2025 18:41    3712
hurl-zsh-completion-7.1.0-r0.apk                   05-Dec-2025 18:41    4211
hw-probe-1.6.6-r2.apk                              01-Jul-2025 19:19    124K
hwatch-0.3.11-r0.apk                               25-Oct-2024 20:11   1007K
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 20:11    3114
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 20:11    1862
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 20:11    1974
hx-1.0.15-r0.apk                                   25-Oct-2024 20:11     15K
hx-doc-1.0.15-r0.apk                               25-Oct-2024 20:11    4904
hyfetch-2.0.5-r0.apk                               21-Nov-2025 17:34    874K
hyfetch-bash-completion-2.0.5-r0.apk               21-Nov-2025 17:34    3413
hyfetch-doc-2.0.5-r0.apk                           21-Nov-2025 17:34     20K
hyfetch-zsh-completion-2.0.5-r0.apk                21-Nov-2025 17:34    2625
hyperrogue-13.1i-r0.apk                            19-Dec-2025 03:52     84M
hyperrogue-doc-13.1i-r0.apk                        19-Dec-2025 03:52    8175
hypnotix-3.5-r0.apk                                25-Oct-2024 20:11    110K
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 20:11     72K
hypridle-0.1.7-r1.apk                              14-Dec-2025 10:57    146K
hypridle-doc-0.1.7-r1.apk                          14-Dec-2025 10:57    2453
hypridle-openrc-0.1.7-r1.apk                       14-Dec-2025 10:57    1742
hyprlock-0.9.2-r0.apk                              14-Dec-2025 10:57    489K
hyprpicker-0.4.5-r1.apk                            14-Dec-2025 10:57    125K
hyprpicker-doc-0.4.5-r1.apk                        14-Dec-2025 10:57    3914
hyprsunset-0.3.3-r1.apk                            14-Dec-2025 10:57    150K
hyprsunset-doc-0.3.3-r1.apk                        14-Dec-2025 10:57    2488
hyprsunset-openrc-0.3.3-r1.apk                     14-Dec-2025 10:57    1801
hyx-2024.02.29-r0.apk                              25-Oct-2024 20:11     17K
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 20:11    2293
i2util-4.2.1-r1.apk                                25-Oct-2024 20:11     17K
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 20:11     47K
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 20:11    4827
i3bar-river-1.1.0-r1.apk                           29-Oct-2025 22:52    529K
i3bar-river-openrc-1.1.0-r1.apk                    29-Oct-2025 22:52    1754
i3bar-river-systemd-1.1.0-r1.apk                   29-Oct-2025 22:52    1925
i3status-rust-0.34.0-r0.apk                        19-Jul-2025 05:23      4M
i3status-rust-doc-0.34.0-r0.apk                    19-Jul-2025 05:23     33K
ibus-typing-booster-2.29.0-r0.apk                  16-Dec-2025 14:54     13M
ibus-typing-booster-lang-2.29.0-r0.apk             16-Dec-2025 14:54    317K
ibus-typing-booster-pyc-2.29.0-r0.apk              16-Dec-2025 14:54      1M
icesprog-0_git20240108-r1.apk                      25-Oct-2024 20:11    9149
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 20:11    1940
icestorm-0_git20240517-r0.apk                      25-Oct-2024 20:11     17M
icingaweb2-module-businessprocess-2.5.2-r0.apk     29-Sep-2025 06:12    110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk 29-Sep-2025 06:12      2M
icingaweb2-module-fileshipper-1.2.0-r3.apk         29-Sep-2025 06:12     11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk     29-Sep-2025 06:12    231K
icingaweb2-module-generictts-2.1.0-r0.apk          29-Sep-2025 06:12    6453
icingaweb2-module-generictts-doc-2.1.0-r0.apk      29-Sep-2025 06:12    1839
icingaweb2-module-pnp-1.1.0-r1.apk                 25-Oct-2024 20:11    9125
icingaweb2-module-pnp-doc-1.1.0-r1.apk             25-Oct-2024 20:11    1585
identities-0.2.3-r0.apk                            03-Jan-2026 16:24     19K
identme-0.6.0-r0.apk                               03-Apr-2025 12:33     49K
idesk-1-r1.apk                                     25-Oct-2024 20:11     77K
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44     15K
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44    2511
idevicerestore-1.0.0_git20250914-r0.apk            06-Oct-2025 17:35    103K
idevicerestore-doc-1.0.0_git20250914-r0.apk        06-Oct-2025 17:35    3496
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44     11K
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44    2397
igrep-1.2.0-r0.apk                                 25-Oct-2024 20:11      2M
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 20:11    4260
ijq-1.2.0-r2.apk                                   04-Dec-2025 14:22      2M
ijq-doc-1.2.0-r2.apk                               04-Dec-2025 14:22    3679
imapfilter-2.8.2-r0.apk                            25-Oct-2024 20:11     44K
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 20:11     13K
imapgoose-0.4.1-r1.apk                             04-Dec-2025 14:22      3M
imapgoose-doc-0.4.1-r1.apk                         04-Dec-2025 14:22    5830
imapgoose-openrc-0.4.1-r1.apk                      04-Dec-2025 14:22    1773
imapgoose-systemd-0.4.1-r1.apk                     04-Dec-2025 14:22    1820
imediff-2.6-r1.apk                                 25-Oct-2024 20:11     42K
imediff-doc-2.6-r1.apk                             25-Oct-2024 20:11    6686
imediff-pyc-2.6-r1.apk                             25-Oct-2024 20:11     44K
imgdiff-1.0.2-r31.apk                              04-Dec-2025 14:22      1M
imgdiff-doc-1.0.2-r31.apk                          04-Dec-2025 14:22    2315
imrsh-0_git20210320-r1.apk                         25-Oct-2024 20:11    9651
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 20:11     18K
infnoise-0.3.3-r0.apk                              26-May-2025 08:58     17K
infnoise-doc-0.3.3-r0.apk                          26-May-2025 08:58    4245
infnoise-openrc-0.3.3-r0.apk                       26-May-2025 08:58    1771
initify-0_git20171210-r1.apk                       25-Oct-2024 20:11    3362
innernet-1.6.1-r0.apk                              25-Oct-2024 20:11      3M
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 20:11    3916
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 20:11    9252
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 20:11    4643
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 20:11    2355
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 20:11    5586
interception-tools-0.6.8-r3.apk                    12-Oct-2025 14:19    105K
interception-tools-openrc-0.6.8-r3.apk             12-Oct-2025 14:19    1737
invidtui-0.4.6-r10.apk                             04-Dec-2025 14:22      4M
ip2location-8.6.1-r0.apk                           25-Oct-2024 20:11     25K
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 20:11     12K
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 20:11    2778
ipp-usb-0.9.30-r5.apk                              04-Dec-2025 14:22      3M
ipp-usb-doc-0.9.30-r5.apk                          04-Dec-2025 14:22    9033
ipp-usb-openrc-0.9.30-r5.apk                       04-Dec-2025 14:22    1772
iprange-1.0.4-r1.apk                               25-Oct-2024 20:11     21K
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 20:11    4643
irccd-4.0.3-r0.apk                                 25-Oct-2024 20:11    268K
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 20:11    9847
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 20:11     80K
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 20:11    1864
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:01    340K
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:01    3697
ircdog-0.5.4-r10.apk                               04-Dec-2025 14:22      3M
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 20:11    6961
isomd5sum-1.2.5-r0.apk                             15-Jul-2025 08:02     24K
isomd5sum-doc-1.2.5-r0.apk                         15-Jul-2025 08:02    3047
it87-src-1_p20240609-r0.apk                        25-Oct-2024 20:11     30K
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 20:11     34K
jackal-0.64.0-r20.apk                              04-Dec-2025 14:22     13M
jackal-openrc-0.64.0-r20.apk                       04-Dec-2025 14:22    1879
jackdaw-0.3.1-r2.apk                               29-May-2025 12:07      2M
jackdaw-pyc-0.3.1-r2.apk                           29-May-2025 12:07    364K
jalv-1.6.8-r1.apk                                  25-Oct-2024 20:11     52K
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 20:11    3256
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 20:11     35K
jaq-2.3.0-r0.apk                                   20-Jul-2025 10:52    793K
jaq-doc-2.3.0-r0.apk                               20-Jul-2025 10:52    2289
java-gdcm-3.2.2-r3.apk                             02-Dec-2025 05:43    648K
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 20:11      4M
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 20:11     12K
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 20:11    219K
jbigkit-2.1-r2.apk                                 25-Oct-2024 20:11     63K
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 20:11     30K
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 20:11    7514
jdebp-redo-1.4-r1.apk                              25-Oct-2024 20:11    104K
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 20:11     12K
jdupes-1.28.0-r0.apk                               25-Oct-2024 20:11     29K
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 20:11    9208
jedi-language-server-0.45.1-r0.apk                 28-Apr-2025 22:57     32K
jedi-language-server-pyc-0.45.1-r0.apk             28-Apr-2025 22:57     50K
jfrog-cli-2.45.0-r17.apk                           04-Dec-2025 14:22     10M
jgmenu-4.5.0-r0.apk                                10-Jan-2026 18:07    126K
jgmenu-doc-4.5.0-r0.apk                            10-Jan-2026 18:07     22K
jgmenu-xfce4-4.5.0-r0.apk                          10-Jan-2026 18:07    7375
jhead-3.08-r0.apk                                  25-Oct-2024 20:11     32K
jhead-doc-3.08-r0.apk                              25-Oct-2024 20:11    8091
jotdown-0.7.0-r0.apk                               11-Mar-2025 20:12    234K
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 20:11    571K
jsmn-1.1.0-r2.apk                                  25-Oct-2024 20:11    4837
json2tsv-1.2-r0.apk                                25-Oct-2024 20:11    7112
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 20:11    5369
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 20:11    1951
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 20:11    2388
jsonnet-bundler-0.6.0-r10.apk                      04-Dec-2025 14:22      3M
jsonnet-language-server-0.16.0-r1.apk              04-Dec-2025 14:22      5M
junit2html-31.0.2-r0.apk                           25-Oct-2024 20:11     17K
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 20:11     24K
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18    838K
k2-0_git20250903-r0.apk                            09-Jan-2026 22:13     81K
k3sup-0.13.6-r10.apk                               04-Dec-2025 14:22      3M
k3sup-bash-completion-0.13.6-r10.apk               04-Dec-2025 14:22    5139
k3sup-fish-completion-0.13.6-r10.apk               04-Dec-2025 14:22    4373
k3sup-zsh-completion-0.13.6-r10.apk                04-Dec-2025 14:22    4094
kabmat-2.7.0-r0.apk                                25-Oct-2024 20:11     61K
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 20:11    3616
kapow-0.7.1-r18.apk                                04-Dec-2025 14:22      4M
katana-1.3.0-r0.apk                                06-Jan-2026 06:13     17M
katana-doc-1.3.0-r0.apk                            06-Jan-2026 06:13    2302
katarakt-0.3-r0.apk                                05-Jan-2026 20:13    131K
kbs2-0.7.3-r0.apk                                  30-Jul-2025 07:28      1M
kbs2-bash-completion-0.7.3-r0.apk                  30-Jul-2025 07:28    3309
kbs2-fish-completion-0.7.3-r0.apk                  30-Jul-2025 07:28    3527
kbs2-zsh-completion-0.7.3-r0.apk                   30-Jul-2025 07:28    4144
kcbench-0.9.14-r0.apk                              07-Jan-2026 16:40     37K
kcbench-doc-0.9.14-r0.apk                          07-Jan-2026 16:40     20K
kdiskmark-3.2.0-r0.apk                             01-Jul-2025 19:19    182K
kdiskmark-lang-3.2.0-r0.apk                        01-Jul-2025 19:19     32K
keepsecret-1.0.0-r0.apk                            15-Dec-2025 16:22    138K
keepsecret-lang-1.0.0-r0.apk                       15-Dec-2025 16:22     27K
kerberoast-0.2.0-r2.apk                            29-May-2025 12:07    9549
kerberoast-pyc-0.2.0-r2.apk                        29-May-2025 12:07     15K
kew-3.7.3-r0.apk                                   06-Jan-2026 13:45    654K
kew-doc-3.7.3-r0.apk                               06-Jan-2026 13:45    3760
keybase-client-6.2.8-r15.apk                       04-Dec-2025 14:22     19M
keystone-0.9.2-r6.apk                              25-Oct-2024 20:11      1M
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 20:11    7477
keystone-python-0.9.2-r6.apk                       25-Oct-2024 20:11      2M
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 20:11    9906
kfc-0.1.4-r0.apk                                   25-Oct-2024 20:11     58K
khinsider-2.0.7-r25.apk                            04-Dec-2025 14:22      4M
khronos-4.0.1-r0.apk                               25-Oct-2024 20:11     56K
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 20:11     26K
kile-3.0_beta4-r0.apk                              27-Oct-2025 14:05      2M
kile-doc-3.0_beta4-r0.apk                          27-Oct-2025 14:05      5M
kile-lang-3.0_beta4-r0.apk                         27-Oct-2025 14:05      3M
kimchi-3.0.0-r8.apk                                10-Feb-2025 22:47    529K
kimchi-lang-3.0.0-r8.apk                           10-Feb-2025 22:47    172K
kimchi-pyc-3.0.0-r8.apk                            10-Feb-2025 22:47    476K
kine-0.10.1-r18.apk                                04-Dec-2025 14:22      8M
kine-doc-0.10.1-r18.apk                            04-Dec-2025 14:22    5276
kirc-0.3.3-r0.apk                                  22-Feb-2025 11:09     15K
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 11:09    2845
kismet-0.202509.1-r0.apk                           09-Oct-2025 04:08     12M
kismet-linux-bluetooth-0.202509.1-r0.apk           09-Oct-2025 04:08     50K
kismet-linux-wifi-0.202509.1-r0.apk                09-Oct-2025 04:08     69K
kismet-logtools-0.202509.1-r0.apk                  09-Oct-2025 04:08      1M
kismet-nrf-51822-0.202509.1-r0.apk                 09-Oct-2025 04:08     48K
kismet-nxp-kw41z-0.202509.1-r0.apk                 09-Oct-2025 04:08     49K
kjv-0_git20221103-r0.apk                           25-Oct-2024 20:11      2M
klevernotes-1.1.0-r0.apk                           25-Oct-2024 20:11      3M
klevernotes-lang-1.1.0-r0.apk                      25-Oct-2024 20:11    142K
klong-20221212-r0.apk                              07-Jun-2025 15:16    325K
kmscon-9.2.1-r0.apk                                08-Jan-2026 23:23    862K
kmscon-doc-9.2.1-r0.apk                            08-Jan-2026 23:23     11K
kmscon-systemd-9.2.1-r0.apk                        08-Jan-2026 23:23    2766
knative-client-1.19.6-r1.apk                       04-Dec-2025 14:22     25M
knative-client-bash-completion-1.19.6-r1.apk       04-Dec-2025 14:22     10K
knative-client-fish-completion-1.19.6-r1.apk       04-Dec-2025 14:22    4413
knative-client-zsh-completion-1.19.6-r1.apk        04-Dec-2025 14:22    4130
knxd-0.14.61-r1.apk                                14-Dec-2024 19:46    408K
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 19:46     24K
ko-0.17.1-r10.apk                                  04-Dec-2025 14:22     11M
ko-bash-completion-0.17.1-r10.apk                  04-Dec-2025 14:22    5162
ko-fish-completion-0.17.1-r10.apk                  04-Dec-2025 14:22    4397
ko-zsh-completion-0.17.1-r10.apk                   04-Dec-2025 14:22    4117
kodaskanna-0.2.2-r0.apk                            20-Jan-2025 08:16     55K
kodaskanna-lang-0.2.2-r0.apk                       20-Jan-2025 08:16     23K
kodi-audioencoder-flac-20.2.0-r1.apk               09-Jan-2026 15:53     41K
kodi-audioencoder-lame-20.3.0-r1.apk               09-Jan-2026 15:53     90K
kodi-audioencoder-vorbis-20.2.0-r1.apk             09-Jan-2026 15:53     33K
kodi-audioencoder-wav-20.2.0-r1.apk                09-Jan-2026 15:53     25K
kodi-game-libretro-20.1.0-r0.apk                   09-Jan-2026 15:53    120K
kodi-game-libretro-atari800-3.1.0.28-r0.apk        09-Jan-2026 15:53    238K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk   09-Jan-2026 15:53     13K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk    09-Jan-2026 15:53     12K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk     09-Jan-2026 15:53     14K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> 09-Jan-2026 15:53     19K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk    09-Jan-2026 15:53     51K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk     09-Jan-2026 15:53     62K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk  09-Jan-2026 15:53     17K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> 09-Jan-2026 15:53     17K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk      09-Jan-2026 15:53     14K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk   09-Jan-2026 15:53     15K
kodi-game-libretro-desmume-0.0.1.28-r0.apk         09-Jan-2026 15:53     61K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk         09-Jan-2026 15:53     71K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk           09-Jan-2026 15:53    177K
kodi-game-libretro-frodo-0.0.1.25-r0.apk           09-Jan-2026 15:53    158K
kodi-game-libretro-mame2000-0.37.0.32-r0.apk       09-Jan-2026 15:53    324K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk       09-Jan-2026 15:53      1M
kodi-game-libretro-mgba-0.11.0.44-r0.apk           09-Jan-2026 15:53     17K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk       09-Jan-2026 15:53     20K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk         09-Jan-2026 15:53     23K
kodi-game-libretro-theodore-0.0.1.32-r0.apk        09-Jan-2026 15:53     14K
kodi-inputstream-adaptive-21.5.9-r0.apk            09-Jan-2026 15:53      1M
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk        09-Jan-2026 15:53    366K
kodi-inputstream-rtmp-21.1.2-r0.apk                09-Jan-2026 15:53     89K
kodi-peripheral-joystick-20.1.9-r0.apk             09-Jan-2026 15:53    223K
kodi-pvr-hts-21.2.6-r0.apk                         09-Jan-2026 15:53    318K
kodi-pvr-iptvsimple-21.10.2-r0.apk                 09-Jan-2026 15:53    943K
kodi-vfs-libarchive-21.0.2-r0.apk                  09-Jan-2026 15:53    116K
kodi-vfs-rar-20.1.0-r1.apk                         09-Jan-2026 15:53    413K
kodi-vfs-sacd-20.1.0-r1.apk                        09-Jan-2026 15:53     97K
kodi-vfs-sftp-20.2.0-r1.apk                        09-Jan-2026 15:53     54K
komikku-1.85.0-r0.apk                              22-Aug-2025 08:07    444K
komikku-lang-1.85.0-r0.apk                         22-Aug-2025 08:07    284K
komikku-pyc-1.85.0-r0.apk                          22-Aug-2025 08:07    798K
kompose-1.31.2-r15.apk                             04-Dec-2025 14:22      8M
kompose-bash-completion-1.31.2-r15.apk             04-Dec-2025 14:22    5725
kompose-fish-completion-1.31.2-r15.apk             04-Dec-2025 14:22    4481
kompose-zsh-completion-1.31.2-r15.apk              04-Dec-2025 14:22    6942
kondo-0.8-r0.apk                                   25-Oct-2024 20:11    686K
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 20:11    2153
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 20:11    2152
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 20:11    2518
kontainer-1.0.1-r0.apk                             17-Jun-2025 03:03    199K
krita-plugin-gmic-3.2.4.1-r3.apk                   25-Oct-2024 20:11      3M
kronosnet-1.32-r0.apk                              27-Dec-2025 21:42     73K
kronosnet-dev-1.32-r0.apk                          27-Dec-2025 21:42      2M
kronosnet-doc-1.32-r0.apk                          27-Dec-2025 21:42    108K
ktx-4.3.2-r1.apk                                   10-Jun-2025 02:52      1M
ktx-dev-4.3.2-r1.apk                               10-Jun-2025 02:52     29K
ktx-libs-4.3.2-r1.apk                              10-Jun-2025 02:52      1M
kube-no-trouble-0.7.3-r10.apk                      04-Dec-2025 14:22     15M
kubeconform-0.7.0-r3.apk                           04-Dec-2025 14:22      4M
kubectl-krew-0.4.5-r7.apk                          04-Dec-2025 14:22      5M
kubectl-oidc_login-1.34.2-r1.apk                   04-Dec-2025 14:22      6M
kubepug-1.7.1-r15.apk                              04-Dec-2025 14:22     17M
kubepug-bash-completion-1.7.1-r15.apk              04-Dec-2025 14:22    5251
kubepug-fish-completion-1.7.1-r15.apk              04-Dec-2025 14:22    4440
kubepug-zsh-completion-1.7.1-r15.apk               04-Dec-2025 14:22    4150
kubeseal-0.32.1-r2.apk                             04-Dec-2025 14:22     12M
kubeseal-doc-0.32.1-r2.apk                         04-Dec-2025 14:22    5655
kubesplit-0.3.3-r1.apk                             25-Oct-2024 20:11     13K
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 20:11     13K
lavacli-2.4-r0.apk                                 15-Jun-2025 04:25     49K
lavacli-doc-2.4-r0.apk                             15-Jun-2025 04:25     34K
lavacli-pyc-2.4-r0.apk                             15-Jun-2025 04:25     88K
laze-0.1.38-r0.apk                                 20-Jul-2025 20:22      1M
laze-bash-completion-0.1.38-r0.apk                 20-Jul-2025 20:22    3222
laze-doc-0.1.38-r0.apk                             20-Jul-2025 20:22    3684
laze-fish-completion-0.1.38-r0.apk                 20-Jul-2025 20:22    3522
laze-zsh-completion-0.1.38-r0.apk                  20-Jul-2025 20:22    3765
lbb-0.10.4-r1.apk                                  04-Dec-2025 14:22      3M
lbb-doc-0.10.4-r1.apk                              04-Dec-2025 14:22     20K
lcalc-2.1.0-r0.apk                                 03-May-2025 08:30    227K
lcalc-dev-2.1.0-r0.apk                             03-May-2025 08:30     51K
lcalc-doc-2.1.0-r0.apk                             03-May-2025 08:30    437K
lcalc-libs-2.1.0-r0.apk                            03-May-2025 08:30    232K
ldapdomaindump-0.10.0-r0.apk                       30-Jul-2025 19:18     18K
ldapdomaindump-pyc-0.10.0-r0.apk                   30-Jul-2025 19:18     30K
ledmon-1.0.0-r0.apk                                25-Oct-2024 20:11     81K
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 20:11     14K
lefthook-2.0.4-r1.apk                              04-Dec-2025 14:22      6M
lefthook-doc-2.0.4-r1.apk                          04-Dec-2025 14:22    2300
legume-1.4.2-r14.apk                               04-Dec-2025 14:22      2M
legume-doc-1.4.2-r14.apk                           04-Dec-2025 14:22     12K
leptosfmt-0.1.33-r0.apk                            25-Mar-2025 15:46      1M
leptosfmt-doc-0.1.33-r0.apk                        25-Mar-2025 15:46    6187
levmar-dev-2.6-r0.apk                              25-Oct-2024 20:11     48K
lfm-3.1-r4.apk                                     25-Oct-2024 20:11     88K
lfm-doc-3.1-r4.apk                                 25-Oct-2024 20:11    2831
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 20:11    134K
lgogdownloader-3.18-r0.apk                         31-Dec-2025 21:56    387K
lgogdownloader-doc-3.18-r0.apk                     31-Dec-2025 21:56    8661
libabigail-2.8-r0.apk                              02-Nov-2025 19:54      1M
libabigail-bash-completion-2.8-r0.apk              02-Nov-2025 19:54    2889
libabigail-dev-2.8-r0.apk                          02-Nov-2025 19:54      1M
libabigail-doc-2.8-r0.apk                          02-Nov-2025 19:54     77K
libabigail-tools-2.8-r0.apk                        02-Nov-2025 19:54    132K
libandroidfw-0_git20251009-r0.apk                  08-Jan-2026 12:09    441K
libandroidfw-dev-0_git20251009-r0.apk              08-Jan-2026 12:09    7311
libantlr3c-3.4-r3.apk                              25-Oct-2024 20:11     55K
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 20:11     58K
libantlr4-4.13.2-r0.apk                            12-Dec-2024 11:20    496K
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 11:20    951K
libaudec-0.3.4-r3.apk                              25-Oct-2024 20:11     30K
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 20:11    4384
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 20:11     33K
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 20:11     30K
libb64-2.0.0.1-r0.apk                              25-Oct-2024 20:11    4790
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 20:11    6318
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 20:11    8231
libbamf-0.5.6-r1.apk                               25-Oct-2024 20:11    151K
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 20:11    6569
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 20:11     31K
libbraiding-1.3.1-r0.apk                           18-Nov-2024 18:00    109K
libbraiding-dev-1.3.1-r0.apk                       18-Nov-2024 18:00     15K
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 20:11     48K
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 20:11     39K
libcli-1.10.7-r0.apk                               25-Oct-2024 20:11     32K
libcob4-3.2-r0.apk                                 28-Jul-2025 14:02    204K
libcork-0.15.0-r7.apk                              25-Oct-2024 20:11     36K
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 20:11     30K
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 20:11    4709
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 20:11     14K
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 20:11    8284
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 20:11     11K
libcotp-3.1.1-r0.apk                               03-Oct-2025 22:25    9304
libcotp-dev-3.1.1-r0.apk                           03-Oct-2025 22:25    2589
libcpdf-2.8.1-r0.apk                               08-May-2025 12:15      2M
libcpdf-dev-2.8.1-r0.apk                           08-May-2025 12:15     17K
libcpdf-static-2.8.1-r0.apk                        08-May-2025 12:15      3M
libctl-4.5.1-r1.apk                                25-Oct-2024 20:11     93K
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 20:11     39K
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 20:11    3090
libcyaml-1.4.2-r0.apk                              25-Oct-2024 20:11     23K
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 20:11     13K
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 20:11    8852
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 20:11     27K
libdbusaccess-1.0.20-r1.apk                        09-Aug-2025 02:08     19K
libdbusaccess-dev-1.0.20-r1.apk                    09-Aug-2025 02:08    5119
libdcmtk-3.7.0-r0.apk                              28-Dec-2025 20:54      7M
libdiscid-0.6.5-r0.apk                             09-Jan-2026 23:20     11K
libdiscid-dev-0.6.5-r0.apk                         09-Jan-2026 23:20    6794
libdng-0.2.1-r0.apk                                27-Dec-2024 22:10     12K
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:10    3273
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:10    4298
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:10    6367
libeantic-2.1.0-r2.apk                             26-Nov-2025 08:26     87K
libeantic-dev-2.1.0-r2.apk                         26-Nov-2025 08:26     18K
libecap-1.0.1-r1.apk                               25-Oct-2024 20:11     14K
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 20:11     11K
libecap-static-1.0.1-r1.apk                        25-Oct-2024 20:11     17K
libemf2svg-1.1.0-r3.apk                            06-Oct-2025 10:56    160K
libemf2svg-utils-1.1.0-r3.apk                      06-Oct-2025 10:56     18K
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 20:11     40K
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 20:11     18K
libetebase-0.5.8-r0.apk                            27-Sep-2025 19:40    873K
libetebase-dev-0.5.8-r0.apk                        27-Sep-2025 19:40     11K
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 20:11    200K
libfishsound-1.0.0-r1.apk                          25-Oct-2024 20:11     10K
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 20:11     57K
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 20:11     75K
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 20:11    105K
libfort-0.4.2-r0.apk                               25-Oct-2024 20:11     31K
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 20:11     17K
libgdcm-3.2.2-r3.apk                               02-Dec-2025 05:43      3M
libgivaro-4.2.0-r2.apk                             25-Oct-2024 20:11     83K
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 20:11    244K
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 20:11     97K
libglib-testing-0.1.1-r0.apk                       08-May-2025 12:15     13K
libglib-testing-dev-0.1.1-r0.apk                   08-May-2025 12:15    5640
libglib-testing-doc-0.1.1-r0.apk                   08-May-2025 12:15     25K
libgrapheme-2.0.2-r0.apk                           22-Jul-2025 20:52     24K
libgrapheme-dev-2.0.2-r0.apk                       22-Jul-2025 20:52     32K
libgrapheme-doc-2.0.2-r0.apk                       22-Jul-2025 20:52     21K
libguestfs-1.56.1-r0.apk                           22-Jul-2025 22:05    320K
libguestfs-dev-1.56.1-r0.apk                       22-Jul-2025 22:05     29K
libguestfs-doc-1.56.1-r0.apk                       22-Jul-2025 22:05    569K
libguestfs-static-1.56.1-r0.apk                    22-Jul-2025 22:05    449K
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 20:11     16K
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 20:11     20K
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 20:11    6238
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 20:11    6015
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 20:11     13K
libibumad-1.3.10.2-r3.apk                          25-Oct-2024 20:11     15K
libibumad-dev-1.3.10.2-r3.apk                      25-Oct-2024 20:11    7756
libibumad-doc-1.3.10.2-r3.apk                      25-Oct-2024 20:11     23K
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44     18K
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44    3388
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44    2228
libigraph-1.0.1-r0.apk                             31-Dec-2025 18:35      2M
libigraph-dev-1.0.1-r0.apk                         31-Dec-2025 18:35     90K
libiio-0.25-r2.apk                                 25-Oct-2024 20:11     53K
libiio-dev-0.25-r2.apk                             25-Oct-2024 20:11     13K
libiio-doc-0.25-r2.apk                             25-Oct-2024 20:11     18K
libiio-pyc-0.25-r2.apk                             25-Oct-2024 20:11     21K
libiio-tools-0.25-r2.apk                           25-Oct-2024 20:11     74K
libinfnoise-0.3.3-r0.apk                           26-May-2025 08:58     15K
libirecovery-1.3.0-r0.apk                          06-Oct-2025 17:35     25K
libirecovery-dev-1.3.0-r0.apk                      06-Oct-2025 17:35    4256
libirecovery-progs-1.3.0-r0.apk                    06-Oct-2025 17:35    8718
libiscsi-1.19.0-r2.apk                             25-Oct-2024 20:11     60K
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 20:11     20K
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 20:11    9503
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 20:11     71K
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 20:11     88K
libjodycode-3.1.1-r0.apk                           25-Oct-2024 20:11    7961
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 20:11    4309
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 20:11    3753
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 20:11    176K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 20:11     20K
libm4ri-20240729-r2.apk                            15-Jan-2025 18:23    157K
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:23     32K
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:23    176K
libm4rie-20200125-r5.apk                           15-Jan-2025 18:23    186K
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:23     24K
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:23    249K
libmdbx-0.11.8-r0.apk                              25-Oct-2024 20:11    899K
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 20:11      3M
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 20:11     93K
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 20:11    9065
libmdf-1.0.29-r0.apk                               25-Oct-2024 20:11     38K
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 20:11     14K
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 20:11     98K
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 20:11    110K
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 20:11    8347
libmpfi-1.5.4-r2.apk                               25-Oct-2024 20:11     40K
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 20:11    5513
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 20:11     19K
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 20:11     55K
libmrss-0.19.2-r1.apk                              25-Oct-2024 20:11     22K
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 20:11     33K
libmustache-0.5.0-r1.apk                           25-Oct-2024 20:11     89K
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 20:11     92K
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 20:11     12K
libmysofa-1.3.2-r0.apk                             25-Oct-2024 20:11     28K
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 20:11    7184
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 20:11      1M
libnest2d-0.4-r7.apk                               06-Feb-2025 04:49    1244
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:49     70K
libnfcdef-1.1.0-r0.apk                             02-Jan-2026 17:16     14K
libnfcdef-dev-1.1.0-r0.apk                         02-Jan-2026 17:16    6110
libnih-1.0.3-r7.apk                                25-Oct-2024 20:11    108K
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 20:11    110K
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 20:11    2770
libntl-11.6.0-r0.apk                               08-Nov-2025 07:24      1M
libntl-dev-11.6.0-r0.apk                           08-Nov-2025 07:24    157K
libntl-doc-11.6.0-r0.apk                           08-Nov-2025 07:24    366K
libntl-static-11.6.0-r0.apk                        08-Nov-2025 07:24      2M
libnxml-0.18.3-r0.apk                              25-Oct-2024 20:11     22K
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 20:11     29K
libofx-0.10.9-r1.apk                               25-Oct-2024 20:11     68K
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 20:11     20K
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 20:11    106K
liboggz-1.1.1-r2.apk                               25-Oct-2024 20:11    101K
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 20:11    162K
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 20:11    134K
libopensles-standalone-0_git20250913-r0.apk        22-Sep-2025 13:31     37K
libopensles-standalone-dbg-0_git20250913-r0.apk    22-Sep-2025 13:31    228K
libopensles-standalone-dev-0_git20250913-r0.apk    22-Sep-2025 13:31    1620
libqd-2.3.24-r0.apk                                25-Oct-2024 20:11    177K
libqd-dev-2.3.24-r0.apk                            25-Oct-2024 20:11     58K
libqd-doc-2.3.24-r0.apk                            25-Oct-2024 20:11    182K
libqd-static-2.3.24-r0.apk                         25-Oct-2024 20:11    251K
libqofono-0.124-r0.apk                             10-Jan-2025 12:38    1245
libqofono-dev-0.124-r0.apk                         10-Jan-2025 12:38     42K
libqofono-qt5-0.124-r0.apk                         10-Jan-2025 12:38    302K
libqofono-qt6-0.124-r0.apk                         10-Jan-2025 12:38    446K
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 09:34     74K
libqtdbustest-0.4.0-r0.apk                         06-Sep-2025 20:30     33K
libre-4.1.0-r0.apk                                 03-Oct-2025 07:59    296K
libre-dev-4.1.0-r0.apk                             03-Oct-2025 07:59    464K
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 20:11     45K
librespot-0.8.0-r0.apk                             19-Nov-2025 18:24      2M
librespot-openrc-0.8.0-r0.apk                      19-Nov-2025 18:24    1915
libresprite-1.2-r0.apk                             13-Apr-2025 22:16     15M
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16     15K
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 20:11    286K
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 20:11    363K
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 20:11    326K
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 20:11      2M
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 20:11    376K
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 20:11    685K
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 20:11    248K
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 20:11    303K
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 20:11    281K
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 20:11    617K
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 20:11    145K
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 20:11     10M
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 20:11     37K
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 20:11    172K
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 20:11    903K
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 20:11    717K
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 20:11    198K
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 20:11    8218
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 20:11    193K
libretro-mame2000-0_git20240701-r0.apk             25-Oct-2024 20:11      3M
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 20:11      7M
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 20:11    189K
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 20:11    520K
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 20:11    308K
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 20:11    501K
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 20:11    191K
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 20:11    827K
libretro-pcsx-rearmed-0_git20220409-r0.apk         25-Oct-2024 20:11    546K
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 20:11    500K
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 20:11     89K
libretro-ppsspp-0_git20210516-r15.apk              11-Apr-2025 18:13      2M
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 20:11     21M
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 20:11    613K
libretro-theodore-3.1-r0.apk                       25-Oct-2024 20:11    869K
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 20:11    409K
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 20:11    124K
libretro-yabause-0_git20210411-r0.apk              25-Oct-2024 20:11    506K
libsbsms-2.3.0-r0.apk                              25-Oct-2024 20:11    106K
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 20:11    123K
libsds-2.0.0-r1.apk                                25-Oct-2024 20:11     10K
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 20:11    3866
libsemanage-3.6-r1.apk                             25-Oct-2024 20:11     96K
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 20:11    141K
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 20:11     23K
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 19:46    757K
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 19:46    335K
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 19:46      2M
libserialport-0.1.1-r1.apk                         25-Oct-2024 20:11     22K
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 20:11     37K
libsigrok-0.5.2-r3.apk                             25-Oct-2024 20:11    506K
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 20:11     31K
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 20:11    337K
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 20:11     38K
libsimpleble-0.10.3-r0.apk                         11-Jul-2025 02:16    206K
libsimpleble-c-0.10.3-r0.apk                       11-Jul-2025 02:16     16K
libsimplebluez-0.10.3-r0.apk                       11-Jul-2025 02:16    149K
libsirocco-2.1.1-r0.apk                            31-Aug-2025 17:16     74K
libsirocco-dev-2.1.1-r0.apk                        31-Aug-2025 17:16    2250
libspatialindex-2.1.0-r1.apk                       10-Nov-2025 04:40    336K
libspatialindex-dev-2.1.0-r1.apk                   10-Nov-2025 04:40     21K
libstirshaken-0_git20240208-r4.apk                 28-Apr-2025 19:56     53K
libstirshaken-dev-0_git20240208-r4.apk             28-Apr-2025 19:56     77K
libstirshaken-tools-0_git20240208-r4.apk           28-Apr-2025 19:56    159K
libtatsu-1.0.5-r0.apk                              06-Oct-2025 17:35     16K
libtatsu-dev-1.0.5-r0.apk                          06-Oct-2025 17:35     21K
libtins-4.5-r2.apk                                 15-Oct-2025 08:22    346K
libtins-dev-4.5-r2.apk                             15-Oct-2025 08:22    138K
libtins-doc-4.5-r2.apk                             15-Oct-2025 08:22    2386
libtsm-4.3.0-r0.apk                                08-Jan-2026 23:23     26K
libtsm-dev-4.3.0-r0.apk                            08-Jan-2026 23:23    8277
libucl-0.9.0-r0.apk                                25-Oct-2024 20:11     56K
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 20:11     82K
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 20:11    9077
libuecc-7-r4.apk                                   03-Mar-2025 16:06     10K
libuecc-dev-7-r4.apk                               03-Mar-2025 16:06    4747
libuninameslist-20230916-r0.apk                    25-Oct-2024 20:11    368K
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 20:11    3553
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 20:11    2094
libupstart-2.0.3-r5.apk                            25-Oct-2024 20:11     54K
libvalkey-0.2.1-r0.apk                             17-Nov-2025 11:58     66K
libvalkey-dev-0.2.1-r0.apk                         17-Nov-2025 11:58    319K
libvalkey-tls-0.2.1-r0.apk                         17-Nov-2025 11:58    7308
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 20:11     62K
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 20:11     15K
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 20:11    2989
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 20:11    122K
libvmaf-3.0.0-r0.apk                               25-Oct-2024 20:11    371K
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 20:11    219K
libvoikko-4.3.2-r1.apk                             25-Oct-2024 20:11    129K
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 20:11     10K
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 20:11    5860
libwasmtime-39.0.1-r0.apk                          08-Dec-2025 21:06      4M
libwasmtime-static-39.0.1-r0.apk                   08-Dec-2025 21:06      6M
libwbxml-0.11.8-r0.apk                             25-Oct-2024 20:11     73K
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 20:11    9173
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 20:11     28K
libwhich-1.2.0-r0.apk                              25-Oct-2024 20:11    4618
libwmiclient-1.3.16-r5.apk                         25-Oct-2024 20:11    1489
libwmiclient-dev-1.3.16-r5.apk                     25-Oct-2024 20:11    1736
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:47     70K
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:47     29K
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45    179K
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45     76K
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45     63K
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55    167K
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55     38K
libzvbi-0.2.44-r0.apk                              11-Mar-2025 20:28    217K
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 20:28     14K
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 20:28    274K
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 20:11     18K
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 20:11     18K
limnoria-20240828-r0.apk                           25-Oct-2024 20:11      1M
limnoria-doc-20240828-r0.apk                       25-Oct-2024 20:11    8601
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 20:11      1M
linkchecker-10.6.0-r0.apk                          10-Aug-2025 11:38    181K
linkchecker-doc-10.6.0-r0.apk                      10-Aug-2025 11:38     39K
linkchecker-pyc-10.6.0-r0.apk                      10-Aug-2025 11:38    254K
linkquisition-1.6.1-r10.apk                        04-Dec-2025 14:22     13M
linphone-5.3.38-r0.apk                             25-Oct-2024 20:11      9M
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 20:11    250K
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 20:11      3M
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 20:11    197K
linux-gpib-4.3.7-r0.apk                            06-Dec-2025 23:07     60K
linux-gpib-dev-4.3.7-r0.apk                        06-Dec-2025 23:07    7850
linux-gpib-doc-4.3.7-r0.apk                        06-Dec-2025 23:07    326K
linux-gpib-udev-4.3.7-r0.apk                       06-Dec-2025 23:07    2687
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 20:11    5176
linuxptp-4.4-r0.apk                                18-Nov-2024 18:00    1245
linuxptp-doc-4.4-r0.apk                            18-Nov-2024 18:00     38K
linuxptp-hwstamp_ctl-4.4-r0.apk                    18-Nov-2024 18:00    4558
linuxptp-nsm-4.4-r0.apk                            18-Nov-2024 18:00     32K
linuxptp-phc2sys-4.4-r0.apk                        18-Nov-2024 18:00     39K
linuxptp-phc_ctl-4.4-r0.apk                        18-Nov-2024 18:00     11K
linuxptp-pmc-4.4-r0.apk                            18-Nov-2024 18:00     35K
linuxptp-ptp4l-4.4-r0.apk                          18-Nov-2024 18:00     83K
linuxptp-timemaster-4.4-r0.apk                     18-Nov-2024 18:00     16K
linuxptp-ts2phc-4.4-r0.apk                         18-Nov-2024 18:00     38K
linuxptp-tz2alt-4.4-r0.apk                         18-Nov-2024 18:00     20K
liquibase-4.9.1-r0.apk                             25-Oct-2024 20:11     32M
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 20:11     57K
litehtml-0.9-r2.apk                                17-Feb-2025 09:34    338K
litehtml-dev-0.9-r2.apk                            17-Feb-2025 09:34     42K
litehtml-static-0.9-r2.apk                         17-Feb-2025 09:34    511K
litterbox-1.9-r2.apk                               12-Sep-2025 22:22     32K
litterbox-doc-1.9-r2.apk                           12-Sep-2025 22:22    7380
lizardfs-3.13.0-r17.apk                            19-Jun-2025 08:56    116K
lizardfs-bash-completion-3.13.0-r17.apk            19-Jun-2025 08:56    1932
lizardfs-cgi-3.13.0-r17.apk                        19-Jun-2025 08:56     31K
lizardfs-cgiserv-3.13.0-r17.apk                    19-Jun-2025 08:56    7543
lizardfs-cgiserv-openrc-3.13.0-r17.apk             19-Jun-2025 08:56    2020
lizardfs-chunkserver-3.13.0-r17.apk                19-Jun-2025 08:56    323K
lizardfs-chunkserver-openrc-3.13.0-r17.apk         19-Jun-2025 08:56    1698
lizardfs-client-3.13.0-r17.apk                     19-Jun-2025 08:56      1M
lizardfs-doc-3.13.0-r17.apk                        19-Jun-2025 08:56     11K
lizardfs-master-3.13.0-r17.apk                     19-Jun-2025 08:56    853K
lizardfs-master-openrc-3.13.0-r17.apk              19-Jun-2025 08:56    1684
lizardfs-metalogger-3.13.0-r17.apk                 19-Jun-2025 08:56    136K
lizardfs-metalogger-openrc-3.13.0-r17.apk          19-Jun-2025 08:56    1687
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44    105K
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44     22K
llmnrd-0.7-r1.apk                                  25-Oct-2024 20:11     17K
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 20:11    3110
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 20:11    1937
lockrun-1.1.3-r1.apk                               25-Oct-2024 20:11    5447
log4cpp-1.1.4-r1.apk                               25-Oct-2024 20:11     76K
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 20:11     39K
log4cxx-1.5.0-r0.apk                               06-Jan-2026 07:26    563K
log4cxx-dev-1.5.0-r0.apk                           06-Jan-2026 07:26    149K
logc-0.5.0-r1.apk                                  13-Jun-2025 14:22    8343
logc-argp-0.5.0-r1.apk                             13-Jun-2025 14:22     17K
logc-config-0.5.0-r1.apk                           13-Jun-2025 14:22    5379
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 20:11    4226
logc-dev-0.5.0-r1.apk                              13-Jun-2025 14:22    8798
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 20:11    3580
logc-libs-0.1.0-r0.apk                             25-Oct-2024 20:11    1487
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 20:11    5794
logtop-0.7-r1.apk                                  09-Aug-2025 02:08     15K
logtop-doc-0.7-r1.apk                              09-Aug-2025 02:08    2915
logtop-libs-0.7-r1.apk                             09-Aug-2025 02:08     16K
lol-html-1.1.1-r1.apk                              25-Oct-2024 20:11    444K
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 20:11    6670
lolcat-1.4-r0.apk                                  25-Oct-2024 20:11     10K
lomiri-0.5.0-r4.apk                                18-Dec-2025 14:42      4M
lomiri-action-api-1.2.1-r0.apk                     25-Oct-2025 20:19     84K
lomiri-action-api-dev-1.2.1-r0.apk                 25-Oct-2025 20:19    5073
lomiri-api-0.2.3-r0.apk                            25-Oct-2025 20:19     35K
lomiri-api-dev-0.2.3-r0.apk                        25-Oct-2025 20:19     32K
lomiri-app-launch-0.1.12-r5.apk                    18-Dec-2025 14:42    354K
lomiri-app-launch-dev-0.1.12-r5.apk                18-Dec-2025 14:42     20K
lomiri-calculator-app-4.1.0-r0.apk                 19-Aug-2025 20:19    382K
lomiri-calculator-app-lang-4.1.0-r0.apk            19-Aug-2025 20:19     41K
lomiri-clock-app-4.1.1-r0.apk                      09-May-2025 17:35    230K
lomiri-clock-app-lang-4.1.1-r0.apk                 09-May-2025 17:35    456K
lomiri-content-hub-2.2.1-r0.apk                    09-Dec-2025 11:12    298K
lomiri-content-hub-dev-2.2.1-r0.apk                09-Dec-2025 11:12     11K
lomiri-content-hub-doc-2.2.1-r0.apk                09-Dec-2025 11:12      1M
lomiri-content-hub-lang-2.2.1-r0.apk               09-Dec-2025 11:12     47K
lomiri-docviewer-app-3.1.2-r0.apk                  19-Aug-2025 20:19    239K
lomiri-docviewer-app-doc-3.1.2-r0.apk              19-Aug-2025 20:19    2070
lomiri-docviewer-app-lang-3.1.2-r0.apk             19-Aug-2025 20:19    138K
lomiri-download-manager-0.3.0-r0.apk               09-Dec-2025 11:12    649K
lomiri-download-manager-dev-0.3.0-r0.apk           09-Dec-2025 11:12     17K
lomiri-download-manager-doc-0.3.0-r0.apk           09-Dec-2025 11:12      3M
lomiri-download-manager-lang-0.3.0-r0.apk          09-Dec-2025 11:12     30K
lomiri-filemanager-app-1.1.4-r0.apk                19-Aug-2025 20:19    357K
lomiri-filemanager-app-lang-1.1.4-r0.apk           19-Aug-2025 20:19    180K
lomiri-gallery-app-3.0.2-r2.apk                    19-Aug-2025 09:00      4M
lomiri-gallery-app-lang-3.0.2-r2.apk               19-Aug-2025 09:00    106K
lomiri-history-service-0.6-r20.apk                 19-Dec-2025 11:40    388K
lomiri-history-service-dev-0.6-r20.apk             19-Dec-2025 11:40     11K
lomiri-indicator-location-25.4.22-r1.apk           17-Nov-2025 13:00     23K
lomiri-indicator-location-lang-25.4.22-r1.apk      17-Nov-2025 13:00     27K
lomiri-indicator-location-systemd-25.4.22-r1.apk   17-Nov-2025 13:00    1822
lomiri-indicator-network-1.1.2-r0.apk              08-Sep-2025 01:00    659K
lomiri-indicator-network-dev-1.1.2-r0.apk          08-Sep-2025 01:00    9759
lomiri-indicator-network-doc-1.1.2-r0.apk          08-Sep-2025 01:00    2113
lomiri-indicator-network-lang-1.1.2-r0.apk         08-Sep-2025 01:00    199K
lomiri-lang-0.5.0-r4.apk                           18-Dec-2025 14:42    269K
lomiri-libusermetrics-1.4.0-r0.apk                 09-Dec-2025 11:12    238K
lomiri-libusermetrics-dev-1.4.0-r0.apk             09-Dec-2025 11:12    8035
lomiri-libusermetrics-doc-1.4.0-r0.apk             09-Dec-2025 11:12    228K
lomiri-libusermetrics-lang-1.4.0-r0.apk            09-Dec-2025 11:12     45K
lomiri-location-service-3.3.0-r5.apk               17-Nov-2025 13:00      2M
lomiri-location-service-dev-3.3.0-r5.apk           17-Nov-2025 13:00     30K
lomiri-location-service-doc-3.3.0-r5.apk           17-Nov-2025 13:00    2946
lomiri-location-service-lang-3.3.0-r5.apk          17-Nov-2025 13:00     26K
lomiri-location-service-systemd-3.3.0-r5.apk       17-Nov-2025 13:00    2189
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:15    102K
lomiri-schemas-0.1.9-r0.apk                        01-Oct-2025 17:03     10K
lomiri-settings-components-1.1.3-r0.apk            25-Oct-2025 20:19    222K
lomiri-settings-components-lang-1.1.3-r0.apk       25-Oct-2025 20:19    108K
lomiri-sounds-25.01-r0.apk                         19-Aug-2025 20:19     18M
lomiri-system-settings-1.3.2-r0.apk                09-May-2025 17:35      1M
lomiri-system-settings-lang-1.3.2-r0.apk           09-May-2025 17:35    846K
lomiri-telephony-service-0.6.2-r1.apk              19-Dec-2025 11:40      1M
lomiri-telephony-service-lang-0.6.2-r1.apk         19-Dec-2025 11:40    109K
lomiri-terminal-app-2.0.5-r0.apk                   09-May-2025 17:35     66K
lomiri-terminal-app-doc-2.0.5-r0.apk               09-May-2025 17:35    2729
lomiri-terminal-app-lang-2.0.5-r0.apk              09-May-2025 17:35     91K
lomiri-thumbnailer-3.1.0-r0.apk                    09-Dec-2025 11:12    241K
lomiri-thumbnailer-dev-3.1.0-r0.apk                09-Dec-2025 11:12    5224
lomiri-thumbnailer-doc-3.1.0-r0.apk                09-Dec-2025 11:12    124K
lomiri-trust-store-2.0.2-r14.apk                   18-Dec-2025 14:42   1021K
lomiri-trust-store-dev-2.0.2-r14.apk               18-Dec-2025 14:42    9348
lomiri-trust-store-lang-2.0.2-r14.apk              18-Dec-2025 14:42     28K
lomiri-ui-extras-0.8.0-r0.apk                      09-Dec-2025 11:12    276K
lomiri-ui-extras-lang-0.8.0-r0.apk                 09-Dec-2025 11:12     52K
lomiri-ui-toolkit-1.3.5110-r5.apk                  18-Dec-2025 14:42      1M
lomiri-ui-toolkit-dev-1.3.5110-r5.apk              18-Dec-2025 14:42    168K
lomiri-ui-toolkit-lang-1.3.5110-r5.apk             18-Dec-2025 14:42     97K
lomiri-url-dispatcher-0.1.4-r0.apk                 09-May-2025 17:35     38K
lomiri-url-dispatcher-dev-0.1.4-r0.apk             09-May-2025 17:35    3388
lomiri-url-dispatcher-lang-0.1.4-r0.apk            09-May-2025 17:35     26K
lomiri-weather-app-6.0.2-r0.apk                    11-Mar-2025 12:02    304K
lomiri-weather-app-lang-6.0.2-r0.apk               11-Mar-2025 12:02    214K
lotide-0.15.0-r0.apk                               25-Oct-2024 20:11      3M
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11    3195
lout-3.42.2-r0.apk                                 25-Oct-2024 20:11      1M
lout-doc-3.42.2-r0.apk                             25-Oct-2024 20:11    453K
lowjs-1.6.2-r2.apk                                 25-Oct-2024 20:11      1M
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 20:11    3039
lrcalc-2.1-r1.apk                                  25-Oct-2024 20:11     11K
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 20:11     11K
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 20:11     25K
lsdvd-0.17-r0.apk                                  25-Oct-2024 20:11     13K
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 20:11    2526
lshell-0.9.18-r12.apk                              06-Sep-2025 20:30     36K
lshell-doc-0.9.18-r12.apk                          06-Sep-2025 20:30     25K
lshell-pyc-0.9.18-r12.apk                          06-Sep-2025 20:30     35K
lsix-1.8.2-r0.apk                                  25-Oct-2024 20:11    6669
lspmux-0.3.0-r0.apk                                05-Nov-2025 14:23   1002K
lspmux-doc-0.3.0-r0.apk                            05-Nov-2025 14:23    6786
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 20:11    1224
lua-fn-0.1.0-r0.apk                                25-Oct-2024 20:11    3459
lua-inet-0.2.0-r1.apk                              25-Oct-2024 20:11    9348
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 20:11    1210
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 20:11    1209
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 20:11     19K
lua-linenoise-0.9-r1.apk                           25-Oct-2024 20:11    1199
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 20:11    1497
lua-lupa-1.0-r0.apk                                25-Oct-2024 20:11     20K
lua-lut-1.2.1-r0.apk                               25-Oct-2024 20:11     89K
lua-psl-0.3-r0.apk                                 25-Oct-2024 20:11    1154
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 20:11    5451
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 20:11    3702
lua-xml-1.1.3-r2.apk                               25-Oct-2024 20:11    1466
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 20:11     29K
lua5.1-libguestfs-1.56.1-r0.apk                    22-Jul-2025 22:05     90K
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11     11K
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11     86K
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 20:11    6528
lua5.1-ubus-2025.10.17-r0.apk                      25-Oct-2025 12:57    9724
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 20:11     24K
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4797
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11     11K
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9311
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 20:11    6424
lua5.2-ubus-2025.10.17-r0.apk                      25-Oct-2025 12:57    9732
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 20:11     23K
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4849
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9359
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 20:11    6450
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4845
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9426
luacov-html-1.0.0-r1.apk                           25-Oct-2024 20:11    1242
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 20:11     35K
luksmeta-9-r0.apk                                  25-Oct-2024 20:11     14K
luksmeta-dev-9-r0.apk                              25-Oct-2024 20:11    3168
luksmeta-doc-9-r0.apk                              25-Oct-2024 20:11    5612
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 20:11    1264
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 20:11    182K
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 20:11      9M
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 20:11    885K
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 20:11     12K
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 20:11    175K
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 20:11    426K
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 20:11    216K
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 20:11    138K
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 20:11    186K
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 20:11    107K
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 20:11    211K
lumins-0.4.0-r2.apk                                25-Oct-2024 20:11    667K
lutgen-1.0.1-r0.apk                                19-Nov-2025 18:45      2M
lutgen-bash-completion-1.0.1-r0.apk                19-Nov-2025 18:45    1782
lutgen-doc-1.0.1-r0.apk                            19-Nov-2025 18:45    4560
lutgen-fish-completion-1.0.1-r0.apk                19-Nov-2025 18:45    1828
lutgen-zsh-completion-1.0.1-r0.apk                 19-Nov-2025 18:45    1750
lutris-0.5.19-r1.apk                               16-Sep-2025 18:09    819K
lutris-doc-0.5.19-r1.apk                           16-Sep-2025 18:09    2401
lutris-lang-0.5.19-r1.apk                          16-Sep-2025 18:09    810K
lutris-pyc-0.5.19-r1.apk                           16-Sep-2025 18:09      1M
lv_font_conv-1.5.3-r0.apk                          19-Aug-2025 19:54      1M
lv_font_conv-doc-1.5.3-r0.apk                      19-Aug-2025 19:54    5092
lxappearance-0.6.3-r3.apk                          25-Oct-2024 20:11     30K
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 20:11    3315
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 20:11    2673
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 20:11     81K
lxqt-wayland-session-0.3.0-r0.apk                  20-Nov-2025 11:11    339K
lxqt-wayland-session-doc-0.3.0-r0.apk              20-Nov-2025 11:11     29K
lychee-0.19.1-r0.apk                               17-Jun-2025 08:07      3M
lychee-doc-0.19.1-r0.apk                           17-Jun-2025 08:07     13K
lynis-3.1.4-r0.apk                                 29-Jul-2025 08:12    276K
lynis-bash-completion-3.1.4-r0.apk                 29-Jul-2025 08:12    3037
lynis-doc-3.1.4-r0.apk                             29-Jul-2025 08:12     50K
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 14:34     38K
m2r2-0.3.4-r0.apk                                  08-Jan-2026 17:32     12K
m2r2-pyc-0.3.4-r0.apk                              08-Jan-2026 17:32     15K
macchina-6.4.0-r0.apk                              22-Jul-2025 20:51   1001K
macchina-doc-6.4.0-r0.apk                          22-Jul-2025 20:51    5802
mage-1.13.0-r23.apk                                12-May-2025 07:42      2M
magpie-0.9.4-r0.apk                                16-Oct-2025 17:07      2M
magpie-dev-0.9.4-r0.apk                            16-Oct-2025 17:07    246K
magpie-lang-0.9.4-r0.apk                           16-Oct-2025 17:07    849K
maildir-rank-addr-1.4.1-r1.apk                     04-Dec-2025 14:22      4M
maildir-rank-addr-doc-1.4.1-r1.apk                 04-Dec-2025 14:22    2337
maildir2rss-0.0.7-r10.apk                          04-Dec-2025 14:22      4M
mailsec-check-0_git20210729-r31.apk                04-Dec-2025 14:22      3M
makeclapman-2.4.4-r10.apk                          04-Dec-2025 14:22      1M
makeclapman-doc-2.4.4-r10.apk                      04-Dec-2025 14:22    4245
makedumpfile-1.7.8-r0.apk                          05-Nov-2025 14:24    197K
makedumpfile-doc-1.7.8-r0.apk                      05-Nov-2025 14:24     24K
makedumpfile-openrc-1.7.8-r0.apk                   05-Nov-2025 14:24    3222
makeself-2.5.0-r0.apk                              25-Oct-2024 20:11     13K
malcontent-0.13.1-r0.apk                           06-Sep-2025 20:30    161K
malcontent-dev-0.13.1-r0.apk                       06-Sep-2025 20:30     24K
malcontent-doc-0.13.1-r0.apk                       06-Sep-2025 20:30     45K
mame-0.251-r0.apk                                  25-Oct-2024 20:11     94M
mame-arcade-0.251-r0.apk                           25-Oct-2024 20:11     65M
mame-common-0.251-r0.apk                           25-Oct-2024 20:11    2724
mame-data-0.251-r0.apk                             25-Oct-2024 20:11     19M
mame-doc-0.251-r0.apk                              25-Oct-2024 20:11     24K
mame-lang-0.251-r0.apk                             25-Oct-2024 20:11      1M
mame-mess-0.251-r0.apk                             25-Oct-2024 20:11     52M
mame-plugins-0.251-r0.apk                          25-Oct-2024 20:11    166K
mame-tools-0.251-r0.apk                            25-Oct-2024 20:11      3M
mangal-4.0.6-r23.apk                               04-Dec-2025 14:22     11M
mangal-bash-completion-4.0.6-r23.apk               04-Dec-2025 14:22    5108
mangal-fish-completion-4.0.6-r23.apk               04-Dec-2025 14:22    4016
mangal-zsh-completion-4.0.6-r23.apk                04-Dec-2025 14:22    4098
mangowc-0.10.10-r0.apk                             04-Jan-2026 22:07    116K
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 20:11    2874
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 20:11     14K
manifest-tool-2.2.0-r6.apk                         04-Dec-2025 14:22      4M
mapnik-4.1.4-r0.apk                                04-Dec-2025 14:22     13M
mapnik-dev-4.1.4-r0.apk                            04-Dec-2025 14:22    487K
mapnik-doc-4.1.4-r0.apk                            04-Dec-2025 14:22    143K
mapserver-8.4.1-r1.apk                             04-Dec-2025 14:22      1M
mapserver-dev-8.4.1-r1.apk                         04-Dec-2025 14:22    540K
marxan-4.0.7-r1.apk                                25-Oct-2024 20:11    602K
masky-0.2.0-r2.apk                                 29-May-2025 12:07    277K
masky-pyc-0.2.0-r2.apk                             29-May-2025 12:07     64K
mat2-0.13.5-r0.apk                                 16-Sep-2025 19:00     35K
mat2-doc-0.13.5-r0.apk                             16-Sep-2025 19:00    7878
mat2-pyc-0.13.5-r0.apk                             16-Sep-2025 19:00     54K
materia-20210322-r3.apk                            10-Jul-2025 16:38    1708
materia-chromium-20210322-r3.apk                   10-Jul-2025 16:38    5820
materia-compact-20210322-r3.apk                    10-Jul-2025 16:38    1730
materia-compact-chromium-20210322-r3.apk           10-Jul-2025 16:38    5834
materia-compact-gnome-shell-20210322-r3.apk        10-Jul-2025 16:38     29K
materia-compact-gtk2-20210322-r3.apk               10-Jul-2025 16:38     35K
materia-compact-gtk3-20210322-r3.apk               10-Jul-2025 16:38     63K
materia-compact-gtk4-20210322-r3.apk               10-Jul-2025 16:38     43K
materia-dark-20210322-r3.apk                       10-Jul-2025 16:38    1724
materia-dark-chromium-20210322-r3.apk              10-Jul-2025 16:38    5837
materia-dark-compact-20210322-r3.apk               10-Jul-2025 16:38    1746
materia-dark-compact-chromium-20210322-r3.apk      10-Jul-2025 16:38    5857
materia-dark-compact-gnome-shell-20210322-r3.apk   10-Jul-2025 16:38     29K
materia-dark-compact-gtk2-20210322-r3.apk          10-Jul-2025 16:38     35K
materia-dark-compact-gtk3-20210322-r3.apk          10-Jul-2025 16:38     40K
materia-dark-compact-gtk4-20210322-r3.apk          10-Jul-2025 16:38     29K
materia-dark-gnome-shell-20210322-r3.apk           10-Jul-2025 16:38     29K
materia-dark-gtk2-20210322-r3.apk                  10-Jul-2025 16:38     34K
materia-dark-gtk3-20210322-r3.apk                  10-Jul-2025 16:38     40K
materia-dark-gtk4-20210322-r3.apk                  10-Jul-2025 16:38     29K
materia-gnome-shell-20210322-r3.apk                10-Jul-2025 16:38     29K
materia-gtk-theme-20210322-r3.apk                  10-Jul-2025 16:38    2926
materia-gtk2-20210322-r3.apk                       10-Jul-2025 16:38     35K
materia-gtk3-20210322-r3.apk                       10-Jul-2025 16:38     63K
materia-gtk4-20210322-r3.apk                       10-Jul-2025 16:38     43K
mautrix-linkedin-0.2512.0-r0.apk                   16-Dec-2025 18:01      7M
mautrix-linkedin-doc-0.2512.0-r0.apk               16-Dec-2025 18:01     13K
mautrix-linkedin-openrc-0.2512.0-r0.apk            16-Dec-2025 18:01    2012
mautrix-zulip-0.2511.0-r1.apk                      04-Dec-2025 14:22      7M
mautrix-zulip-doc-0.2511.0-r1.apk                  04-Dec-2025 14:22     13K
mautrix-zulip-openrc-0.2511.0-r1.apk               04-Dec-2025 14:22    2006
maxima-5.48.1-r9.apk                               22-Aug-2025 10:19     26M
maxima-bash-completion-5.48.1-r9.apk               22-Aug-2025 10:19    2388
maxima-doc-5.48.1-r9.apk                           22-Aug-2025 10:19    846K
maxima-doc-extra-5.48.1-r9.apk                     22-Aug-2025 10:19     10M
maxima-emacs-5.48.1-r9.apk                         22-Aug-2025 10:19    111K
mbpfan-2.4.0-r1.apk                                25-Oct-2024 20:11     13K
mbpfan-doc-2.4.0-r1.apk                            25-Oct-2024 20:11    5405
mbpfan-openrc-2.4.0-r1.apk                         25-Oct-2024 20:11    1688
mbrola-3.3-r0.apk                                  25-Oct-2024 20:11     24K
mcjoin-2.11-r0.apk                                 25-Oct-2024 20:11     26K
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 20:11     54K
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 20:11    8987
mdbook-admonish-1.20.0-r0.apk                      17-Jun-2025 03:03      1M
mdbook-alerts-0.8.0-r0.apk                         26-Sep-2025 11:17    727K
mdbook-katex-0.9.4-r0.apk                          17-May-2025 14:14      1M
mdbook-linkcheck-0.7.7-r0.apk                      16-May-2025 19:17      3M
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 20:11    918K
mdnsd-0.12-r1.apk                                  25-Oct-2024 20:11     23K
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 20:11     14K
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 20:11     19K
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 20:11    2184
mdnsd-static-0.12-r1.apk                           25-Oct-2024 20:11     18K
mdp-1.0.18-r0.apk                                  10-Jul-2025 02:27     16K
mdp-doc-1.0.18-r0.apk                              10-Jul-2025 02:27    3965
mediascanner2-0.118-r4.apk                         17-Nov-2025 13:00    286K
mediascanner2-systemd-0.118-r4.apk                 17-Nov-2025 13:00    1836
mediastreamer2-5.3.112-r1.apk                      19-Oct-2025 10:20    387K
mediastreamer2-dev-5.3.112-r1.apk                  19-Oct-2025 10:20    110K
mediastreamer2-doc-5.3.112-r1.apk                  19-Oct-2025 10:20    108K
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 06-Mar-2025 22:29     12K
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 20:11    8623
meep-1.31.0-r1.apk                                 19-Aug-2025 13:08    662K
meep-dev-1.31.0-r1.apk                             19-Aug-2025 13:08    501K
megatools-1.11.5.20250706-r0.apk                   30-Jul-2025 15:11     64K
megatools-bash-completion-1.11.5.20250706-r0.apk   30-Jul-2025 15:11    4193
megatools-doc-1.11.5.20250706-r0.apk               30-Jul-2025 15:11     52K
megazeux-2.93d-r0.apk                              10-Jun-2025 13:49      1M
megazeux-doc-2.93d-r0.apk                          10-Jun-2025 13:49    465K
memdump-1.01-r1.apk                                25-Oct-2024 20:11    5847
memdump-doc-1.01-r1.apk                            25-Oct-2024 20:11    3187
menumaker-0.99.14-r1.apk                           25-Oct-2024 20:11    111K
mergerfs-2.41.1-r0.apk                             13-Dec-2025 16:24    439K
mergerfs-doc-2.41.1-r0.apk                         13-Dec-2025 16:24    3393
metadata-cleaner-2.5.6-r0.apk                      31-Jan-2025 14:31     49K
metadata-cleaner-doc-2.5.6-r0.apk                  31-Jan-2025 14:31      2M
metadata-cleaner-lang-2.5.6-r0.apk                 31-Jan-2025 14:31     66K
metalang99-1.13.3-r0.apk                           25-Oct-2024 20:11     54K
microsocks-1.0.5-r0.apk                            21-Dec-2025 23:53    8565
microsocks-doc-1.0.5-r0.apk                        21-Dec-2025 23:53    4039
microsocks-openrc-1.0.5-r0.apk                     21-Dec-2025 23:53    1880
milkytracker-1.04.00-r2.apk                        25-Oct-2024 20:11   1016K
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 20:11     50K
mimalloc1-1.9.4-r0.apk                             05-Nov-2025 14:28     86K
mimalloc1-debug-1.9.4-r0.apk                       05-Nov-2025 14:28    201K
mimalloc1-dev-1.9.4-r0.apk                         05-Nov-2025 14:28    506K
mimalloc1-insecure-1.9.4-r0.apk                    05-Nov-2025 14:28     79K
mimedefang-3.6-r1.apk                              06-Oct-2025 01:15    155K
mimedefang-doc-3.6-r1.apk                          06-Oct-2025 01:15     81K
mimeo-2023-r2.apk                                  25-Oct-2024 20:11     28K
mimeo-pyc-2023-r2.apk                              25-Oct-2024 20:11     42K
mingw-w64-libusb-1.0.29-r0.apk                     19-Sep-2025 04:51    219K
minidyndns-1.3.0-r3.apk                            25-Oct-2024 20:11     12K
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 20:11    5231
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 20:11    1891
minigalaxy-1.4.0-r0.apk                            11-Jul-2025 03:36    197K
minigalaxy-pyc-1.4.0-r0.apk                        11-Jul-2025 03:36    135K
minimodem-0.24-r1.apk                              25-Oct-2024 20:11     22K
minimodem-doc-0.24-r1.apk                          25-Oct-2024 20:11    5322
minisatip-1.3.4-r0.apk                             25-Oct-2024 20:11    303K
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 20:11    1943
mint-themes-2.3.7-r0.apk                           08-Jan-2026 14:05      4M
mint-themes-doc-2.3.7-r0.apk                       08-Jan-2026 14:05     15K
mint-x-icons-1.7.5-r0.apk                          01-Dec-2025 06:05     23M
mint-x-icons-doc-1.7.5-r0.apk                      01-Dec-2025 06:05    8065
mint-x-theme-2.3.7-r0.apk                          08-Jan-2026 14:05    2831
mint-x-theme-gtk2-2.3.7-r0.apk                     08-Jan-2026 14:05    453K
mint-x-theme-gtk3-2.3.7-r0.apk                     08-Jan-2026 14:05    597K
mint-x-theme-gtk4-2.3.7-r0.apk                     08-Jan-2026 14:05    503K
mint-x-theme-metacity-2.3.7-r0.apk                 08-Jan-2026 14:05    6169
mint-x-theme-xfwm4-2.3.7-r0.apk                    08-Jan-2026 14:05     31K
mint-y-icons-1.9.1-r0.apk                          06-Jan-2026 13:45     73M
mint-y-icons-doc-1.9.1-r0.apk                      06-Jan-2026 13:45     12K
mint-y-theme-2.3.7-r0.apk                          08-Jan-2026 14:05    3631
mint-y-theme-gtk2-2.3.7-r0.apk                     08-Jan-2026 14:05    597K
mint-y-theme-gtk3-2.3.7-r0.apk                     08-Jan-2026 14:05      2M
mint-y-theme-gtk4-2.3.7-r0.apk                     08-Jan-2026 14:05      2M
mint-y-theme-metacity-2.3.7-r0.apk                 08-Jan-2026 14:05     55K
mint-y-theme-xfwm4-2.3.7-r0.apk                    08-Jan-2026 14:05    206K
mir-2.25.2-r0.apk                                  18-Dec-2025 14:42      3M
mir-demos-2.25.2-r0.apk                            18-Dec-2025 14:42    156K
mir-dev-2.25.2-r0.apk                              18-Dec-2025 14:42      8M
mir-test-tools-2.25.2-r0.apk                       18-Dec-2025 14:42      1M
miracle-wm-0.8.3-r0.apk                            31-Dec-2025 18:35    490K
miracle-wm-dev-0.8.3-r0.apk                        31-Dec-2025 18:35     15K
mirrorhall-0.1.1-r2.apk                            09-Aug-2025 02:08     26K
mjpg-streamer-0_git20210220-r2.apk                 14-May-2025 16:02    180K
mkcert-1.4.4-r24.apk                               04-Dec-2025 14:22      2M
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 20:11     29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 20:11    1855
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 20:11    791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 20:11    1858
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 20:11    260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 20:11    1852
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 20:11    538K
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 20:11    4832
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 20:11    249K
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 20:11    1839
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 20:11    651K
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 20:11    1855
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 20:11    644K
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 20:11    1857
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 20:11     11K
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 20:11    1843
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 20:11    248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 20:11    1854
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 20:11    944K
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 20:11    1850
mkdotenv-0.4.9-r2.apk                              04-Dec-2025 14:22    976K
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 20:11     15K
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 20:11    3131
mkosi-26-r1.apk                                    27-Dec-2025 21:42    283K
mkosi-doc-26-r1.apk                                27-Dec-2025 21:42     75K
mkosi-pyc-26-r1.apk                                27-Dec-2025 21:42    438K
mkosi-sandbox-26-r1.apk                            27-Dec-2025 21:42     14K
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 20:11     11K
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 20:11    2548
mlxl-0.1-r0.apk                                    25-Oct-2024 20:11    6591
mm-1.4.2-r1.apk                                    25-Oct-2024 20:11    8933
mm-common-1.0.7-r0.apk                             27-Jun-2025 16:39    414K
mm-common-doc-1.0.7-r0.apk                         27-Jun-2025 16:39     33K
mm-dev-1.4.2-r1.apk                                25-Oct-2024 20:11     13K
mm-doc-1.4.2-r1.apk                                25-Oct-2024 20:11     14K
mmar-0.2.5-r1.apk                                  12-May-2025 07:42      3M
mmix-0_git20221025-r0.apk                          25-Oct-2024 20:11    150K
mml-1.0.0-r1.apk                                   30-Sep-2025 00:41    889K
mml-bash-completion-1.0.0-r1.apk                   30-Sep-2025 00:41    2309
mml-doc-1.0.0-r1.apk                               30-Sep-2025 00:41    3885
mml-fish-completion-1.0.0-r1.apk                   30-Sep-2025 00:41    2280
mml-zsh-completion-1.0.0-r1.apk                    30-Sep-2025 00:41    2849
mnamer-2.5.5-r1.apk                                25-Oct-2024 20:11     32K
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 20:11     60K
mobpass-0.2-r6.apk                                 25-Oct-2024 20:11     18K
mobpass-pyc-0.2-r6.apk                             25-Oct-2024 20:11    5367
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 20:11    373K
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 20:11      4M
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 20:11    129K
mods-1.8.1-r3.apk                                  04-Dec-2025 14:22     11M
mods-doc-1.8.1-r3.apk                              04-Dec-2025 14:22    2311
moe-1.14-r0.apk                                    25-Oct-2024 20:11    109K
moe-doc-1.14-r0.apk                                25-Oct-2024 20:11     19K
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 20:11    114M
monetdb-11.33.11-r4.apk                            25-Oct-2024 20:11      2M
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 20:11     77K
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 20:11    321K
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 20:11    202K
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 20:11     89K
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11    100K
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11    1723
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 20:11     37K
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 20:11    7306
moosefs-4.56.6-r2.apk                              19-Jun-2025 08:56    254K
moosefs-cgi-4.56.6-r2.apk                          19-Jun-2025 08:56    121K
moosefs-cgiserv-4.56.6-r2.apk                      19-Jun-2025 08:56    8009
moosefs-cgiserv-openrc-4.56.6-r2.apk               19-Jun-2025 08:56    2040
moosefs-chunkserver-4.56.6-r2.apk                  19-Jun-2025 08:56    189K
moosefs-chunkserver-openrc-4.56.6-r2.apk           19-Jun-2025 08:56    1719
moosefs-client-4.56.6-r2.apk                       19-Jun-2025 08:56    632K
moosefs-doc-4.56.6-r2.apk                          19-Jun-2025 08:56     95K
moosefs-master-4.56.6-r2.apk                       19-Jun-2025 08:56    365K
moosefs-master-openrc-4.56.6-r2.apk                19-Jun-2025 08:56    1710
moosefs-metalogger-4.56.6-r2.apk                   19-Jun-2025 08:56     42K
moosefs-metalogger-openrc-4.56.6-r2.apk            19-Jun-2025 08:56    1711
moosefs-static-4.56.6-r2.apk                       19-Jun-2025 08:56    618K
morph-browser-1.99.1-r0.apk                        09-Dec-2025 11:12    570K
morph-browser-lang-1.99.1-r0.apk                   09-Dec-2025 11:12    343K
motion-4.7.1-r0.apk                                27-Sep-2025 21:22    140K
motion-doc-4.7.1-r0.apk                            27-Sep-2025 21:22    140K
motion-lang-4.7.1-r0.apk                           27-Sep-2025 21:22    471K
motion-openrc-4.7.1-r0.apk                         27-Sep-2025 21:22    2269
mp3val-0.1.8-r1.apk                                25-Oct-2024 20:11     14K
mpdcron-0.3-r1.apk                                 25-Oct-2024 20:11    104K
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 20:11     59K
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 20:11     13K
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 20:11    2956
mpdris2-0.9.1-r3.apk                               25-Oct-2024 20:11     15K
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 20:11     15K
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 20:11    2389
mqtt2prometheus-0.1.7-r21.apk                      04-Dec-2025 14:22      5M
mrsh-0_git20210518-r1.apk                          25-Oct-2024 20:11    5881
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 20:11    188K
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 20:11     10K
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 20:11     68K
msh-2.5.0-r17.apk                                  04-Dec-2025 14:22      3M
msh-openrc-2.5.0-r17.apk                           04-Dec-2025 14:22    2035
mspdebug-0.25-r1.apk                               25-Oct-2024 20:11    196K
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 20:11     14K
msr-tools-1.3-r1.apk                               25-Oct-2024 20:11     10K
mtail-3.2.26-r1.apk                                04-Dec-2025 14:22     14M
mtail-openrc-3.2.26-r1.apk                         04-Dec-2025 14:22    2023
musikcube-3.0.5-r0.apk                             27-Sep-2025 21:22      2M
musikcube-dev-3.0.5-r0.apk                         27-Sep-2025 21:22     19K
musikcube-plugin-all-3.0.5-r0.apk                  27-Sep-2025 21:22    1370
musikcube-plugin-httpdatastream-3.0.5-r0.apk       27-Sep-2025 21:22     86K
musikcube-plugin-mpris-3.0.5-r0.apk                27-Sep-2025 21:22     24K
musikcube-plugin-openmpt-3.0.5-r0.apk              27-Sep-2025 21:22     34K
musikcube-plugin-server-3.0.5-r0.apk               27-Sep-2025 21:22    411K
musikcube-plugin-stockencoders-3.0.5-r0.apk        27-Sep-2025 21:22     22K
musikcube-plugin-supereqdsp-3.0.5-r0.apk           27-Sep-2025 21:22     29K
musikcube-plugin-taglibreader-3.0.5-r0.apk         27-Sep-2025 21:22     40K
mxclient-0_git20211002-r1.apk                      25-Oct-2024 20:11     81K
n30f-2.0-r3.apk                                    25-Oct-2024 20:11    6925
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 20:11    2234
nautilus-python-4.0.1-r0.apk                       12-Oct-2025 21:54     10K
nautilus-python-dev-4.0.1-r0.apk                   12-Oct-2025 21:54    1742
nautilus-python-doc-4.0.1-r0.apk                   12-Oct-2025 21:54    4340
nb-7.19.1-r0.apk                                   24-May-2025 22:11    152K
nb-bash-completion-7.19.1-r0.apk                   24-May-2025 22:11    3055
nb-doc-7.19.1-r0.apk                               24-May-2025 22:11     77K
nb-fish-completion-7.19.1-r0.apk                   24-May-2025 22:11    2866
nb-full-7.19.1-r0.apk                              24-May-2025 22:11    1331
nb-zsh-completion-7.19.1-r0.apk                    24-May-2025 22:11    3020
nbsdgames-5-r0.apk                                 25-Oct-2024 20:11    105K
nbsdgames-doc-5-r0.apk                             25-Oct-2024 20:11    9620
ndpi-4.10-r0.apk                                   25-Oct-2024 20:11      1M
ndpi-dev-4.10-r0.apk                               25-Oct-2024 20:11    898K
neard-0.19-r0.apk                                  25-Oct-2024 20:11    134K
neard-dev-0.19-r0.apk                              25-Oct-2024 20:11     11K
neard-doc-0.19-r0.apk                              25-Oct-2024 20:11    5745
neard-openrc-0.19-r0.apk                           25-Oct-2024 20:11    1752
neko-2.3.0-r0.apk                                  20-Nov-2024 22:26    450K
neko-dev-2.3.0-r0.apk                              20-Nov-2024 22:26     10K
neko-doc-2.3.0-r0.apk                              20-Nov-2024 22:26     20K
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11     26K
neocmakelsp-0.9.1-r0.apk                           10-Jan-2026 07:02      2M
neocmakelsp-bash-completion-0.9.1-r0.apk           10-Jan-2026 07:02    2026
neocmakelsp-doc-0.9.1-r0.apk                       10-Jan-2026 07:02    5963
neocmakelsp-fish-completion-0.9.1-r0.apk           10-Jan-2026 07:02    1653
neocmakelsp-zsh-completion-0.9.1-r0.apk            10-Jan-2026 07:02    2034
neofetch-7.1.0-r2.apk                              06-Nov-2024 21:46     86K
neofetch-doc-7.1.0-r2.apk                          06-Nov-2024 21:46    6330
net-predictable-1.5.1-r5.apk                       04-Dec-2025 14:22    990K
net-predictable-doc-1.5.1-r5.apk                   04-Dec-2025 14:22    2299
netdiscover-0.21-r0.apk                            15-Aug-2025 12:46    450K
netdiscover-doc-0.21-r0.apk                        15-Aug-2025 12:46    4305
netscanner-0.5.1-r1.apk                            25-Oct-2024 20:11      4M
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 20:11    3412
netsed-1.4-r0.apk                                  18-Aug-2025 10:15     11K
networkmanager-dmenu-2.6.1-r1.apk                  13-Oct-2025 16:06     14K
networkmanager-dmenu-doc-2.6.1-r1.apk              13-Oct-2025 16:06    6955
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 20:11     17K
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 20:11     24K
nextpnr-0.7-r0.apk                                 25-Oct-2024 20:11    1478
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 20:11     25M
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 20:11    781K
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 20:11      2M
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 20:11     69M
nfcd-1.2.4-r0.apk                                  31-Dec-2025 18:35    353K
nfcd-dev-1.2.4-r0.apk                              31-Dec-2025 18:35     25K
nfcd-systemd-1.2.4-r0.apk                          31-Dec-2025 18:35    1869
nfoview-2.1-r0.apk                                 13-Apr-2025 10:30     39K
nfoview-doc-2.1-r0.apk                             13-Apr-2025 10:30    8195
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:11    713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:11     21K
nicotine-plus-3.3.10-r0.apk                        01-May-2025 18:06      2M
nicotine-plus-doc-3.3.10-r0.apk                    01-May-2025 18:06    2642
nicotine-plus-lang-3.3.10-r0.apk                   01-May-2025 18:06    757K
nicotine-plus-pyc-3.3.10-r0.apk                    01-May-2025 18:06    789K
nitro-2.7_beta8-r2.apk                             25-Oct-2024 20:11    536K
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 20:11    190K
nitro-init-0.6-r0.apk                              16-Dec-2025 23:50     21K
nitro-init-doc-0.6-r0.apk                          16-Dec-2025 23:50    4714
nkk-0_git20221010-r0.apk                           25-Oct-2024 20:11     16K
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 20:11    2969
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 20:11    7138
nm-tray-0.5.1-r0.apk                               11-Sep-2025 17:35    107K
nm-tray-lang-0.5.1-r0.apk                          11-Sep-2025 17:35     27K
nmap-parse-output-1.5.1-r1.apk                     29-May-2025 12:07     20K
nmap-parse-output-bash-completion-1.5.1-r1.apk     29-May-2025 12:07    2028
nmap-parse-output-doc-1.5.1-r1.apk                 29-May-2025 12:07    808K
noblenote-1.2.1-r1.apk                             25-Oct-2024 20:11    418K
noggin-model-0.1-r0.apk                            25-Oct-2024 20:11     12M
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 20:11      2M
noice-0.8-r1.apk                                   25-Oct-2024 20:11     10K
noice-doc-0.8-r1.apk                               25-Oct-2024 20:11    3444
nom-2.8.0-r8.apk                                   04-Dec-2025 14:22      7M
nom-doc-2.8.0-r8.apk                               04-Dec-2025 14:22    4121
nomadnet-0.8.0-r0.apk                              23-Sep-2025 21:45    143K
nomadnet-pyc-0.8.0-r0.apk                          23-Sep-2025 21:45    285K
normaliz-3.10.4-r3.apk                             26-Nov-2025 08:26     46K
normaliz-dev-3.10.4-r3.apk                         26-Nov-2025 08:26     73K
normaliz-libs-3.10.4-r3.apk                        26-Nov-2025 08:26      3M
notification-daemon-3.20.0-r1.apk                  01-Jul-2025 22:12     60K
nrf5-sdk-17.1.0-r0.apk                             19-Aug-2025 19:54     47M
nrf5-sdk-doc-17.1.0-r0.apk                         19-Aug-2025 19:54    3715
nsh-0.4.2-r1.apk                                   25-Oct-2024 20:11    627K
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 20:11      3M
nsnake-3.0.0-r0.apk                                25-Oct-2024 20:11     10K
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 20:11    2691
nsq-1.3.0-r10.apk                                  12-May-2025 07:42     27M
ntpd-rs-1.6.2-r2.apk                               18-Dec-2025 18:05      3M
ntpd-rs-doc-1.6.2-r2.apk                           18-Dec-2025 18:05     24K
ntpd-rs-openrc-1.6.2-r2.apk                        18-Dec-2025 18:05    1989
nuklear-4.12.0-r0.apk                              25-Oct-2024 20:11    220K
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 20:11     42K
nullmailer-2.2-r4.apk                              25-Oct-2024 20:11    139K
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 20:11     10K
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 20:11    1633
numbat-1.16.0-r0.apk                               19-Aug-2025 13:12      2M
numbat-doc-1.16.0-r0.apk                           19-Aug-2025 13:12     32K
nuzzle-1.6-r0.apk                                  17-Jan-2025 14:21     11K
nuzzle-doc-1.6-r0.apk                              17-Jan-2025 14:21    3281
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 20:11     55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 20:11    7994
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 20:11    4255
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 20:11    3394
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 20:11    1831
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 20:11     10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 20:11    3564
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 20:11    2666
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 20:11    3607
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 20:11    2087
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 20:11    3860
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 20:11    2023
nvimpager-0.12.0-r0.apk                            25-Oct-2024 20:11     13K
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 20:11    4532
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 20:11    1870
nvtop-3.2.0-r0.apk                                 29-Apr-2025 23:39     66K
nvtop-doc-3.2.0-r0.apk                             29-Apr-2025 23:39    3616
nwg-bar-0.1.6-r15.apk                              04-Dec-2025 14:22      2M
nwg-displays-0.3.26-r0.apk                         28-Aug-2025 07:39     27K
nwg-displays-pyc-0.3.26-r0.apk                     28-Aug-2025 07:39     36K
nwg-dock-0.4.3-r5.apk                              04-Dec-2025 14:22      2M
nwg-look-1.0.6-r1.apk                              04-Dec-2025 14:22      2M
nwg-look-doc-1.0.6-r1.apk                          04-Dec-2025 14:22    4260
nwg-panel-0.10.13-r0.apk                           28-Nov-2025 13:29    288K
nwg-panel-doc-0.10.13-r0.apk                       28-Nov-2025 13:29    4554
nwg-panel-pyc-0.10.13-r0.apk                       28-Nov-2025 13:29    270K
nwipe-0.39-r0.apk                                  05-Dec-2025 02:01    271K
nwipe-doc-0.39-r0.apk                              05-Dec-2025 02:01    3890
nymphcast-mediaserver-0.1-r4.apk                   28-Aug-2025 21:08     77K
nymphcast-mediaserver-nftables-0.1-r4.apk          28-Aug-2025 21:08    1735
nzbget-25.4-r0.apk                                 17-Nov-2025 14:40      5M
nzbget-openrc-25.4-r0.apk                          17-Nov-2025 14:40    2125
oauth2-proxy-7.11.0-r4.apk                         04-Dec-2025 14:22      9M
oauth2-proxy-openrc-7.11.0-r4.apk                  04-Dec-2025 14:22    2159
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 20:11    264K
oblibs-0.3.4.0-r0.apk                              01-Jun-2025 16:07     34K
oblibs-dbg-0.3.4.0-r0.apk                          01-Jun-2025 16:07     91K
oblibs-dev-0.3.4.0-r0.apk                          01-Jun-2025 16:07    288K
obnc-0.17.2-r0.apk                                 25-May-2025 23:37    137K
obnc-doc-0.17.2-r0.apk                             25-May-2025 23:37     33K
ocaml-camlpdf-2.8.1-r0.apk                         08-May-2025 12:15      6M
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 20:11      1M
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 20:11      5M
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 20:12      2M
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 20:12    102K
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 20:12    188K
ocaml-cpdf-2.8.1-r0.apk                            08-May-2025 12:15      5M
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 20:12    309K
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 20:12    598K
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 20:12     16K
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 20:12      3M
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 20:12    725K
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 20:12     19K
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 20:12      3M
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 20:12      4M
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 20:12    9019
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 20:12    177K
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 20:12     92K
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 20:12     14K
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 20:12     69K
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 20:12     96K
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 20:12    182K
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 20:12    294K
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 20:12    155K
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 20:12    256K
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 20:12      1M
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 20:12      2M
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 20:12    8233
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 20:12     53K
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 20:12     94K
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 20:12    657K
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 20:12      1M
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 20:12    321K
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 20:12    3696
ocaml-reason-3.8.2-r2.apk                          25-Oct-2025 04:57     15M
ocaml-reason-dev-3.8.2-r2.apk                      25-Oct-2025 04:57     28M
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 20:12     76K
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 20:12    243K
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 20:12     16K
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 20:12     25K
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 20:12    349K
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 20:12    763K
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 20:12    512K
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 20:12      1M
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 20:12      1M
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 20:12     45K
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 20:12     69K
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04      3M
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 20:12    4823
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 20:12    3412
octoprint-filecheck-2025.7.23-r0.apk               04-Jan-2026 14:27     28K
octoprint-filecheck-pyc-2025.7.23-r0.apk           04-Jan-2026 14:27     12K
octoprint-firmwarecheck-2025.7.23-r0.apk           04-Jan-2026 14:27     29K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk       04-Jan-2026 14:27     18K
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04    1748
octoprint-pisupport-2025.7.23-r0.apk               04-Jan-2026 14:27     31K
octoprint-pisupport-pyc-2025.7.23-r0.apk           04-Jan-2026 14:27     15K
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04      1M
odyssey-1.3-r3.apk                                 25-Oct-2024 20:12    127K
odyssey-openrc-1.3-r3.apk                          25-Oct-2024 20:12    2071
oils-for-unix-0.35.0-r0.apk                        28-Sep-2025 22:29    662K
oils-for-unix-bash-0.35.0-r0.apk                   28-Sep-2025 22:29    1719
oils-for-unix-binsh-0.35.0-r0.apk                  28-Sep-2025 22:29    1561
oils-for-unix-doc-0.35.0-r0.apk                    28-Sep-2025 22:29    7191
ol-2.6-r0.apk                                      01-May-2025 06:23      1M
ol-dev-2.6-r0.apk                                  01-May-2025 06:23     16K
ol-doc-2.6-r0.apk                                  01-May-2025 06:23    2646
olsrd-0.9.8-r3.apk                                 25-Oct-2024 20:12    165K
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 20:12     25K
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 20:12    1920
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 20:12    176K
onioncat-4.11.0-r1.apk                             25-Oct-2024 20:12     64K
onioncat-doc-4.11.0-r1.apk                         25-Oct-2024 20:12     19K
onionshare-2.6.3-r1.apk                            14-Nov-2025 18:39    180K
onionshare-desktop-2.6.3-r1.apk                    14-Nov-2025 18:39      1M
onionshare-pyc-2.6.3-r1.apk                        14-Nov-2025 18:39    240K
oniux-0.6.1-r0.apk                                 08-Sep-2025 15:38      6M
oniux-doc-0.6.1-r0.apk                             08-Sep-2025 15:38    3332
opcr-policy-0.3.1-r1.apk                           04-Dec-2025 14:22     10M
openapi-tui-0.9.4-r1.apk                           25-Oct-2024 20:12      4M
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 20:12     10M
openconnect-sso-0.8.0_git20230822-r0.apk           14-May-2025 09:13     29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk       14-May-2025 09:13     29K
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56    199K
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56     71K
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56    3096
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56    633K
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:31     41K
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:31    6448
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 20:12      2M
openocd-esp32-0_git20250707-r2.apk                 20-Oct-2025 21:16      2M
openocd-esp32-dev-0_git20250707-r2.apk             20-Oct-2025 21:16    3744
openocd-esp32-doc-0_git20250707-r2.apk             20-Oct-2025 21:16    3289
openocd-esp32-udev-0_git20250707-r2.apk            20-Oct-2025 21:16    3586
openocd-git-0_git20251018-r1.apk                   20-Oct-2025 21:16      2M
openocd-git-cmd-openocd-0_git20251018-r1.apk       20-Oct-2025 21:16    1612
openocd-git-dbg-0_git20251018-r1.apk               20-Oct-2025 21:16      4M
openocd-git-dev-0_git20251018-r1.apk               20-Oct-2025 21:16    3424
openocd-git-doc-0_git20251018-r1.apk               20-Oct-2025 21:16    3292
openocd-git-udev-0_git20251018-r1.apk              20-Oct-2025 21:16    3629
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 20:12      1M
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 20:12    3813
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 20:12    3369
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 20:12    3350
openrdap-0.9.1-r0.apk                              24-Jun-2025 15:50      3M
openrdap-doc-0.9.1-r0.apk                          24-Jun-2025 15:50    2279
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 20:12     60K
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 20:12     18K
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 20:12    102K
openspades-0.1.3-r6.apk                            09-Jan-2026 20:23     11M
openspades-doc-0.1.3-r6.apk                        09-Jan-2026 20:23     19K
openswitcher-0.5.0-r4.apk                          25-Oct-2024 20:12    148K
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 20:12    9606
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 20:12    2085
opentelemetry-cpp-1.24.0-r0.apk                    22-Nov-2025 13:33    663K
opentelemetry-cpp-dev-1.24.0-r0.apk                22-Nov-2025 13:33    521K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r..> 22-Nov-2025 13:33     49K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk 22-Nov-2025 13:33     65K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk 22-Nov-2025 13:33     96K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk    22-Nov-2025 13:33     54K
openterface-qt-0.5.9-r0.apk                        08-Jan-2026 14:05      1M
openvpn3-3.8.5-r1.apk                              17-Feb-2025 09:34    413K
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 09:34    667K
openwsman-2.8.1-r1.apk                             01-Jul-2025 19:19     47K
openwsman-dev-2.8.1-r1.apk                         01-Jul-2025 19:19     56K
openwsman-doc-2.8.1-r1.apk                         01-Jul-2025 19:19    2455
openwsman-libs-2.8.1-r1.apk                        01-Jul-2025 19:19    323K
opkg-0.7.0-r0.apk                                  25-Oct-2024 20:12     10K
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 20:12    106K
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 20:12    7832
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 20:12     80K
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 20:12     25K
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 20:12    3704
opmsg-1.84-r1.apk                                  25-Oct-2024 20:12    304K
oppa-1.1.0-r0.apk                                  12-Oct-2025 13:21    546K
orage-4.21.0-r0.apk                                06-Jan-2026 14:22    598K
orage-lang-4.21.0-r0.apk                           06-Jan-2026 14:22      1M
organicmaps-2025.09.05.1-r0.apk                    20-Oct-2025 10:34    127M
osmctools-0.9-r0.apk                               25-Oct-2024 20:12    123K
ostui-1.0.4-r0.apk                                 11-Sep-2025 02:50      5M
ostui-doc-1.0.4-r0.apk                             11-Sep-2025 02:50     28K
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 20:12    102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 20:12     48K
otpclient-4.1.1-r0.apk                             22-Jul-2025 22:44    130K
otpclient-doc-4.1.1-r0.apk                         22-Jul-2025 22:44    3736
otrs-6.0.48-r2.apk                                 25-Oct-2024 20:12     29M
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 20:12    4126
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 20:12    2463
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 20:12      4M
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 20:12    795K
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 20:12    1810
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 20:12    1835
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 20:12    1941
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 20:12    107K
ouch-0.6.1-r0.apk                                  28-May-2025 07:39      2M
ouch-bash-completion-0.6.1-r0.apk                  28-May-2025 07:39    2565
ouch-doc-0.6.1-r0.apk                              28-May-2025 07:39    3911
ouch-fish-completion-0.6.1-r0.apk                  28-May-2025 07:39    3106
ouch-zsh-completion-0.6.1-r0.apk                   28-May-2025 07:39    3197
ovhcloud-cli-0.9.0-r0.apk                          14-Dec-2025 10:05      8M
ovn-25.09.2-r0.apk                                 14-Dec-2025 22:06      2M
ovn-dbg-25.09.2-r0.apk                             14-Dec-2025 22:06      6M
ovn-dev-25.09.2-r0.apk                             14-Dec-2025 22:06      1M
ovn-doc-25.09.2-r0.apk                             14-Dec-2025 22:06    264K
ovn-openrc-25.09.2-r0.apk                          14-Dec-2025 22:06    2455
ovos-audio-1.0.1-r0.apk                            15-Jul-2025 20:03    136K
ovos-audio-pyc-1.0.1-r0.apk                        15-Jul-2025 20:03     36K
ovos-core-2.1.0-r0.apk                             02-Nov-2025 14:53     49K
ovos-core-pyc-2.1.0-r0.apk                         02-Nov-2025 14:53     58K
ovos-gui-1.3.3-r0.apk                              15-Jul-2025 20:03     38K
ovos-gui-pyc-1.3.3-r0.apk                          15-Jul-2025 20:03     38K
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43     10K
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43    6808
ovos-phal-0.2.10-r0.apk                            15-Jul-2025 19:29     10K
ovos-phal-pyc-0.2.10-r0.apk                        15-Jul-2025 19:29    7413
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 20:12     46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 20:12    4062
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 20:12     12K
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 20:12    6672
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 20:12     32M
p0f-3.09b-r3.apk                                   25-Oct-2024 20:12     75K
p0f-doc-3.09b-r3.apk                               25-Oct-2024 20:12     25K
p910nd-0.97-r2.apk                                 25-Oct-2024 20:12    8947
p910nd-doc-0.97-r2.apk                             25-Oct-2024 20:12    3057
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 20:12    1881
packwiz-0_git20251102-r1.apk                       04-Dec-2025 14:22      5M
packwiz-doc-0_git20251102-r1.apk                   04-Dec-2025 14:22    2311
pacparser-1.4.5-r1.apk                             25-Oct-2024 20:12    707K
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 20:12    3682
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 20:12     18K
paged-markdown-3-pdf-0.1.3-r0.apk                  28-May-2025 14:24    482K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk  28-May-2025 14:24    1909
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk  28-May-2025 14:24    1829
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk   28-May-2025 14:24    1906
pam-krb5-4.11-r1.apk                               25-Oct-2024 20:12     23K
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 20:12     24K
pam-pkcs11-0.6.13-r1.apk                           17-Nov-2025 13:00    262K
pam-pkcs11-doc-0.6.13-r1.apk                       17-Nov-2025 13:00     14K
pam-pkcs11-systemd-0.6.13-r1.apk                   17-Nov-2025 13:00    1800
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 20:12    9053
pamtester-0.1.2-r4.apk                             25-Oct-2024 20:12    9266
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 20:12    2957
pandora_box-0.18.0-r0.apk                          04-Jan-2026 13:46    457K
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 20:12     45K
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 20:12    6534
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 20:12     83K
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 20:12    1762
paperde-0.3.0-r2.apk                               21-Aug-2025 07:57    637K
paperde-dev-0.3.0-r2.apk                           21-Aug-2025 07:57    5091
paperkey-1.6-r2.apk                                25-Oct-2024 20:12     16K
paperkey-doc-1.6-r2.apk                            25-Oct-2024 20:12    4618
paprefs-1.2-r2.apk                                 22-Nov-2024 22:04     33K
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:04     38K
par-1.53.0-r1.apk                                  25-Oct-2024 20:12     14K
par-doc-1.53.0-r1.apk                              25-Oct-2024 20:12     30K
par2cmdline-turbo-1.3.0-r0.apk                     08-May-2025 12:18    282K
par2cmdline-turbo-doc-1.3.0-r0.apk                 08-May-2025 12:18    6050
parcellite-1.2.5-r0.apk                            25-Oct-2024 20:12    225K
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 20:12     25K
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 20:12     49K
parse-changelog-0.6.12-r0.apk                      18-May-2025 22:18    549K
pash-2.3.0-r2.apk                                  25-Oct-2024 20:12    4388
pasystray-0.8.2-r0.apk                             25-Oct-2024 20:12     46K
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 20:12    3412
pcem-17-r2.apk                                     25-Oct-2024 20:12      2M
pdal-python-plugins-1.6.5-r0.apk                   21-Jun-2025 21:50    272K
pdf2svg-0.2.4-r0.apk                               28-Sep-2025 18:49    4953
pdfcrack-0.21-r0.apk                               19-Nov-2025 08:08     32K
pebble-le-0.3.0-r2.apk                             14-Dec-2024 19:46     66K
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 19:46     40K
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 19:46    3770
peervpn-0.044-r5.apk                               25-Oct-2024 20:12     43K
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 20:12    1827
peg-0.1.18-r1.apk                                  25-Oct-2024 20:12     40K
peg-doc-0.1.18-r1.apk                              25-Oct-2024 20:12     14K
pegasus-frontend-16_alpha-r0.apk                   25-Oct-2024 20:12      1M
pegasus-frontend-doc-16_alpha-r0.apk               25-Oct-2024 20:12     16K
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 20:12      2M
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 20:12    298K
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 20:12    8331
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 20:12     17K
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 20:12    9817
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 20:12     29K
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 20:12    5793
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 20:12    5222
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 20:12    6257
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 20:12    4705
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 20:12     79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 20:12    148K
perl-algorithm-permute-0.17-r1.apk                 01-Jul-2025 19:19     13K
perl-algorithm-permute-doc-0.17-r1.apk             01-Jul-2025 19:19    5238
perl-aliased-0.34-r4.apk                           25-Oct-2024 20:12    5799
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 20:12    5806
perl-alien-base-modulebuild-1.17-r0.apk            08-Jun-2025 16:33     22K
perl-alien-base-modulebuild-doc-1.17-r0.apk        08-Jun-2025 16:33     53K
perl-alien-libgumbo-0.05-r1.apk                    01-Jul-2025 19:19    578K
perl-alien-libgumbo-doc-0.05-r1.apk                01-Jul-2025 19:19    4939
perl-anyevent-dbus-0.31-r0.apk                     13-Dec-2025 07:43    3509
perl-anyevent-dbus-doc-0.31-r0.apk                 13-Dec-2025 07:43    3851
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 20:12    5231
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 20:12    4080
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 20:12     12K
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 20:12     10K
perl-archive-any-lite-0.11-r0.apk                  11-Jul-2025 08:46    4220
perl-archive-any-lite-doc-0.11-r0.apk              11-Jul-2025 08:46    3780
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 20:12     16K
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 20:12    6994
perl-array-diff-0.09-r0.apk                        10-Jul-2025 02:27    3406
perl-array-diff-doc-0.09-r0.apk                    10-Jul-2025 02:27    3976
perl-asa-1.04-r0.apk                               11-Jun-2025 16:35    4423
perl-asa-doc-1.04-r0.apk                           11-Jun-2025 16:35    5114
perl-astro-0.78-r0.apk                             21-Jul-2025 08:40     32K
perl-astro-coords-0.23-r0.apk                      08-Oct-2025 20:26     53K
perl-astro-coords-doc-0.23-r0.apk                  08-Oct-2025 20:26     40K
perl-astro-doc-0.78-r0.apk                         21-Jul-2025 08:40     14K
perl-astro-montenbruck-1.26-r0.apk                 21-Jul-2025 08:40     53K
perl-astro-montenbruck-doc-1.26-r0.apk             21-Jul-2025 08:40     60K
perl-astro-pal-1.09-r0.apk                         21-Jul-2025 08:40    238K
perl-astro-pal-doc-1.09-r0.apk                     21-Jul-2025 08:40    7539
perl-astro-satpass-0.134-r0.apk                    18-Sep-2025 17:18    218K
perl-astro-satpass-doc-0.134-r0.apk                18-Sep-2025 17:18    133K
perl-astro-telescope-0.71-r0.apk                   21-Jul-2025 08:40     48K
perl-astro-telescope-doc-0.71-r0.apk               21-Jul-2025 08:40    5120
perl-autobox-3.0.2-r1.apk                          01-Jul-2025 19:19     19K
perl-autobox-doc-3.0.2-r1.apk                      01-Jul-2025 19:19    9117
perl-b-hooks-op-check-0.22-r1.apk                  01-Jul-2025 19:19    6928
perl-b-hooks-op-check-doc-0.22-r1.apk              01-Jul-2025 19:19    3861
perl-b-utils-0.27-r1.apk                           01-Jul-2025 19:19     19K
perl-b-utils-doc-0.27-r1.apk                       01-Jul-2025 19:19    9744
perl-badger-0.16-r1.apk                            11-Nov-2025 23:13    253K
perl-badger-doc-0.16-r1.apk                        11-Nov-2025 23:13    263K
perl-barcode-zbar-0.10-r4.apk                      01-Jul-2025 19:19     31K
perl-barcode-zbar-doc-0.10-r4.apk                  01-Jul-2025 19:19     13K
perl-bareword-filehandles-0.007-r1.apk             01-Jul-2025 19:19    6266
perl-bareword-filehandles-doc-0.007-r1.apk         01-Jul-2025 19:19    3316
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 20:12    3968
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 20:12    3712
perl-bsd-resource-1.2911-r11.apk                   01-Jul-2025 19:19     19K
perl-bsd-resource-doc-1.2911-r11.apk               01-Jul-2025 19:19    8122
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 20:12     14K
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 20:12     12K
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 20:12    3038
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 20:12    3301
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 14:57    9186
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 14:57    7973
perl-carp-repl-0.18-r0.apk                         26-Apr-2025 17:12    6098
perl-carp-repl-doc-0.18-r0.apk                     26-Apr-2025 17:12    6012
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 14:53    3891
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 14:53    4140
perl-catalyst-action-rest-1.21-r0.apk              01-Apr-2025 05:45     25K
perl-catalyst-action-rest-doc-1.21-r0.apk          01-Apr-2025 05:45     27K
perl-catalyst-actionrole-acl-0.07-r0.apk           07-May-2025 08:26    4438
perl-catalyst-actionrole-acl-doc-0.07-r0.apk       07-May-2025 08:26    5879
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:40    8813
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:40    6919
perl-catalyst-authentication-store-dbix-class-0..> 11-Jun-2025 16:36     13K
perl-catalyst-authentication-store-dbix-class-d..> 11-Jun-2025 16:36     14K
perl-catalyst-component-instancepercontext-0.00..> 19-Jun-2025 10:55    2786
perl-catalyst-component-instancepercontext-doc-..> 19-Jun-2025 10:55    3371
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:40    5102
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:40    4550
perl-catalyst-devel-1.42-r0.apk                    20-Mar-2025 16:04     54K
perl-catalyst-devel-doc-1.42-r0.apk                20-Mar-2025 16:04     11K
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22    2750
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22    375K
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 14:57    6638
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 14:57     12K
perl-catalyst-model-dbic-schema-0.66-r0.apk        11-Jun-2025 16:36     19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk    11-Jun-2025 16:36     18K
perl-catalyst-plugin-authentication-0.10024-r0.apk 29-Mar-2025 15:58     32K
perl-catalyst-plugin-authentication-doc-0.10024..> 29-Mar-2025 15:58     56K
perl-catalyst-plugin-configloader-0.35-r0.apk      20-Mar-2025 16:04    5516
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  20-Mar-2025 16:04     10K
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 08:55    4223
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 08:55     13K
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:57     14K
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:57     25K
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32    4968
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32    4963
perl-catalyst-plugin-session-store-dbic-0.14-r0..> 13-Jun-2025 18:18    6027
perl-catalyst-plugin-session-store-dbic-doc-0.1..> 13-Jun-2025 18:18    6590
perl-catalyst-plugin-session-store-delegate-0.0..> 13-Jun-2025 18:18    4589
perl-catalyst-plugin-session-store-delegate-doc..> 13-Jun-2025 18:18    4637
perl-catalyst-plugin-session-store-file-0.18-r0..> 14-Jun-2025 02:46    3734
perl-catalyst-plugin-session-store-file-doc-0.1..> 14-Jun-2025 02:46    4105
perl-catalyst-plugin-stacktrace-0.12-r0.apk        14-Jun-2025 02:46    4784
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk    14-Jun-2025 02:46    3975
perl-catalyst-plugin-static-simple-0.37-r0.apk     20-Mar-2025 16:04    8903
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 20-Mar-2025 16:04    7588
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 11:46    150K
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 11:46    216K
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 08:55    9298
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 08:55     11K
perl-catalyst-view-tt-0.46-r0.apk                  12-Apr-2025 15:51     14K
perl-catalyst-view-tt-doc-0.46-r0.apk              12-Apr-2025 15:51     13K
perl-catalystx-component-traits-0.19-r0.apk        07-May-2025 08:26    4424
perl-catalystx-component-traits-doc-0.19-r0.apk    07-May-2025 08:26    4093
perl-catalystx-injectcomponent-0.025-r0.apk        01-May-2025 06:39    3496
perl-catalystx-injectcomponent-doc-0.025-r0.apk    01-May-2025 06:39    3671
perl-catalystx-leakchecker-0.06-r0.apk             15-Jun-2025 04:48    3457
perl-catalystx-leakchecker-doc-0.06-r0.apk         15-Jun-2025 04:48    3598
perl-catalystx-profile-0.02-r0.apk                 15-Jun-2025 04:48    3150
perl-catalystx-profile-doc-0.02-r0.apk             15-Jun-2025 04:48    4653
perl-catalystx-repl-0.04-r0.apk                    27-Apr-2025 15:07    3384
perl-catalystx-repl-doc-0.04-r0.apk                27-Apr-2025 15:07    3706
perl-catalystx-simplelogin-0.21-r0.apk             07-May-2025 08:26     11K
perl-catalystx-simplelogin-doc-0.21-r0.apk         07-May-2025 08:26     24K
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 20:12    7042
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 20:12    6314
perl-cgi-simple-1.282-r0.apk                       30-Aug-2025 04:17     56K
perl-cgi-simple-doc-1.282-r0.apk                   30-Aug-2025 04:17     43K
perl-cgi-struct-1.21-r0.apk                        14-Mar-2025 16:31    8021
perl-cgi-struct-doc-1.21-r0.apk                    14-Mar-2025 16:31    6942
perl-check-unitcheck-0.13-r2.apk                   01-Jul-2025 19:19    6334
perl-check-unitcheck-doc-0.13-r2.apk               01-Jul-2025 19:19    3698
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 20:12     12K
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 20:12    7658
perl-class-c3-0.35-r1.apk                          25-Oct-2024 20:12    9690
perl-class-c3-adopt-next-0.14-r0.apk               14-Mar-2025 16:31    5204
perl-class-c3-adopt-next-doc-0.14-r0.apk           14-Mar-2025 16:31    4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 20:12    5658
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 20:12    5467
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 20:12    9507
perl-class-unload-0.11-r0.apk                      04-Jun-2025 17:22    2649
perl-class-unload-doc-0.11-r0.apk                  04-Jun-2025 17:22    3142
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54     13K
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54     12K
perl-clipboard-0.32-r1.apk                         13-Oct-2025 16:06     10K
perl-clipboard-doc-0.32-r1.apk                     13-Oct-2025 16:06     27K
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 20:12    7459
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 20:12    5284
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 11:46    9684
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 11:46    7538
perl-conf-libconfig-1.0.3-r2.apk                   01-Jul-2025 19:19     26K
perl-conf-libconfig-doc-1.0.3-r2.apk               01-Jul-2025 19:19    5636
perl-constant-defer-6-r5.apk                       25-Oct-2024 20:12    7579
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 20:12    7119
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 20:12    8964
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 20:12    7217
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 20:12    3959
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 20:12    4320
perl-cpan-audit-20250829.001-r0.apk                06-Sep-2025 20:30     14K
perl-cpan-audit-doc-20250829.001-r0.apk            06-Sep-2025 20:30     12K
perl-cpan-changes-0.500005-r0.apk                  16-Aug-2025 14:16     13K
perl-cpan-changes-doc-0.500005-r0.apk              16-Aug-2025 14:16     18K
perl-cpansa-db-20250807.001-r0.apk                 01-Sep-2025 13:16      1M
perl-cpansa-db-doc-20250807.001-r0.apk             01-Sep-2025 13:16    5708
perl-crypt-blowfish-2.14-r1.apk                    01-Jul-2025 19:19     13K
perl-crypt-blowfish-doc-2.14-r1.apk                01-Jul-2025 19:19    4172
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 20:12     11K
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 20:12    9019
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 20:12    7119
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 20:12    6516
perl-css-inliner-4027-r0.apk                       16-Jun-2025 11:51     16K
perl-css-inliner-doc-4027-r0.apk                   16-Jun-2025 11:51    9668
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 20:12     23K
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 20:12     33K
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 20:12     12K
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 20:12    8457
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 20:12     15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:12     15K
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 20:12    5044
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 20:12    5481
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 20:12    9936
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 20:12    8666
perl-dancer-session-cookie-0.30-r2.apk             25-Oct-2024 20:12    5591
perl-dancer-session-cookie-doc-0.30-r2.apk         25-Oct-2024 20:12    4278
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54    163K
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54    301K
perl-data-binary-0.01-r0.apk                       10-Jul-2025 02:27    2834
perl-data-binary-doc-0.01-r0.apk                   10-Jul-2025 02:27    3159
perl-data-checks-0.11-r0.apk                       26-Nov-2025 08:26     25K
perl-data-checks-doc-0.11-r0.apk                   26-Nov-2025 08:26    8685
perl-data-clone-0.006-r1.apk                       01-Jul-2025 19:19     11K
perl-data-clone-doc-0.006-r1.apk                   01-Jul-2025 19:19    4646
perl-data-dump-streamer-2.42-r1.apk                01-Jul-2025 19:19     51K
perl-data-dump-streamer-doc-2.42-r1.apk            01-Jul-2025 19:19     17K
perl-data-section-0.200008-r0.apk                  07-Jul-2025 17:02    6571
perl-data-section-doc-0.200008-r0.apk              07-Jul-2025 17:02    5752
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 20:12    5987
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 20:12    5608
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 20:12    9038
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 20:12    6001
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 14:53     10K
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 14:53    8511
perl-database-async-0.019-r0.apk                   25-Oct-2024 20:12     23K
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 20:12     29K
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:12     14K
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:12    9536
perl-date-range-1.41-r0.apk                        08-Jul-2025 16:53    3843
perl-date-range-doc-1.41-r0.apk                    08-Jul-2025 16:53    4141
perl-datetime-astro-1.04-r0.apk                    21-Jul-2025 08:40     39K
perl-datetime-astro-doc-1.04-r0.apk                21-Jul-2025 08:40    6157
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:08    3225
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:08    3858
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:26     18K
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:26     12K
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:08    4496
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:08    4237
perl-datetime-set-0.3900-r0.apk                    21-Jul-2025 08:40     20K
perl-datetime-set-doc-0.3900-r0.apk                21-Jul-2025 08:40     18K
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 20:12    2599
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 20:12    7815
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:12     12K
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:12     15K
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 20:12    6031
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 20:12    5464
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:27    355K
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 06:00    8060
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 06:00    9711
perl-dbix-class-cursor-cached-1.001004-r0.apk      09-Jun-2025 16:28    3289
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk  09-Jun-2025 16:28    3120
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:27    421K
perl-dbix-class-helpers-2.037000-r0.apk            18-Nov-2024 18:00     48K
perl-dbix-class-helpers-doc-2.037000-r0.apk        18-Nov-2024 18:00    121K
perl-dbix-class-schema-loader-0.07053-r0.apk       09-Jun-2025 16:28     97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk   09-Jun-2025 16:28     77K
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37     15K
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37     22K
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 20:12    4400
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 20:12    7719
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 20:12    8244
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 20:12    8665
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37     18K
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37     18K
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 20:12     11K
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 20:12    6813
perl-devel-leak-0.03-r14.apk                       01-Jul-2025 19:19    7406
perl-devel-leak-doc-0.03-r14.apk                   01-Jul-2025 19:19    3427
perl-devel-nytprof-6.14-r1.apk                     01-Jul-2025 19:19    401K
perl-devel-nytprof-doc-6.14-r1.apk                 01-Jul-2025 19:19     51K
perl-devel-refcount-0.10-r2.apk                    01-Jul-2025 19:19    6429
perl-devel-refcount-doc-0.10-r2.apk                01-Jul-2025 19:19    4403
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 14:50     28K
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 14:50     60K
perl-devel-stacktrace-withlexicals-2.01-r0.apk     26-Apr-2025 10:21    3745
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 26-Apr-2025 10:21    3508
perl-devel-trace-0.12-r0.apk                       06-Jul-2025 19:08    3442
perl-devel-trace-doc-0.12-r0.apk                   06-Jul-2025 19:08    3558
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 20:12    5716
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 20:12    5308
perl-digest-crc-0.24-r2.apk                        01-Jul-2025 19:19     10K
perl-digest-crc-doc-0.24-r2.apk                    01-Jul-2025 19:19    3364
perl-dns-unbound-0.29-r2.apk                       01-Jul-2025 19:19     24K
perl-dns-unbound-anyevent-0.29-r2.apk              01-Jul-2025 19:19    2398
perl-dns-unbound-asyncquery-promisexs-0.29-r2.apk  01-Jul-2025 19:19    1992
perl-dns-unbound-doc-0.29-r2.apk                   01-Jul-2025 19:19     15K
perl-dns-unbound-ioasync-0.29-r2.apk               01-Jul-2025 19:19    2474
perl-dns-unbound-mojo-0.29-r2.apk                  01-Jul-2025 19:19    2714
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 20:12    7834
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 20:12     13K
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 20:12    4063
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:12    3908
perl-email-reply-1.204-r5.apk                      25-Oct-2024 20:12    6289
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 20:12    4920
perl-email-sender-2.601-r0.apk                     04-Apr-2025 08:55     25K
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 08:55     42K
perl-encode-detect-1.01-r1.apk                     01-Jul-2025 19:19     73K
perl-encode-detect-doc-1.01-r1.apk                 01-Jul-2025 19:19    4961
perl-ev-hiredis-0.07-r3.apk                        01-Jul-2025 19:19     14K
perl-ev-hiredis-doc-0.07-r3.apk                    01-Jul-2025 19:19    4339
perl-expect-1.38-r0.apk                            19-Apr-2025 19:01     32K
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:01     20K
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38    5622
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38    5001
perl-extutils-makemaker-7.76-r0.apk                16-Aug-2025 14:19    174K
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 20:12     43K
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 20:12     21K
perl-feed-find-0.13-r0.apk                         25-Oct-2024 20:12    3947
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 20:12    3832
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 20:12     20K
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 20:12     29K
perl-ffi-platypus-2.10-r1.apk                      01-Jul-2025 19:19    182K
perl-ffi-platypus-doc-2.10-r1.apk                  01-Jul-2025 19:19    148K
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 20:12    5332
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 20:12    5287
perl-file-changenotify-0.31-r0.apk                 20-Mar-2025 16:04     12K
perl-file-changenotify-doc-0.31-r0.apk             20-Mar-2025 16:04     14K
perl-file-find-object-0.3.9-r0.apk                 11-Jul-2025 16:50    9379
perl-file-find-object-doc-0.3.9-r0.apk             11-Jul-2025 16:50     13K
perl-file-mmagic-xs-0.09008-r5.apk                 01-Jul-2025 19:19     31K
perl-file-mmagic-xs-doc-0.09008-r5.apk             01-Jul-2025 19:19    4362
perl-file-rename-2.02-r0.apk                       25-Oct-2024 20:12    7723
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 20:12     12K
perl-file-treecreate-0.0.1-r0.apk                  11-Jul-2025 08:46    4116
perl-file-treecreate-doc-0.0.1-r0.apk              11-Jul-2025 08:46    4505
perl-finance-quote-1.68-r0.apk                     22-Dec-2025 10:54    114K
perl-finance-quote-doc-1.68-r0.apk                 22-Dec-2025 10:54     90K
perl-flowd-0.9.1-r11.apk                           01-Jul-2025 19:19     22K
perl-flowd-doc-0.9.1-r11.apk                       01-Jul-2025 19:19    3329
perl-freezethaw-0.5001-r3.apk                      18-Oct-2025 10:32     10K
perl-freezethaw-doc-0.5001-r3.apk                  18-Oct-2025 10:32    5842
perl-full-1.004-r0.apk                             25-Oct-2024 20:12    7288
perl-full-doc-1.004-r0.apk                         25-Oct-2024 20:12     10K
perl-future-asyncawait-hooks-0.02-r1.apk           01-Jul-2025 19:19    8679
perl-future-asyncawait-hooks-doc-0.02-r1.apk       01-Jul-2025 19:19    3419
perl-future-http-0.17-r0.apk                       25-Oct-2024 20:12    9455
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 20:12     16K
perl-future-q-0.120-r0.apk                         25-Oct-2024 20:12    9836
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 20:12    9337
perl-future-queue-0.52-r0.apk                      25-Oct-2024 20:12    4187
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 20:12    4377
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 20:12     27K
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 20:12     20K
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:40     15K
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:40     11K
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 20:12     23K
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 20:12     17K
perl-git-raw-0.90-r4.apk                           01-Jul-2025 19:19    181K
perl-git-raw-doc-0.90-r4.apk                       01-Jul-2025 19:19    119K
perl-git-repository-1.325-r0.apk                   25-Oct-2024 20:12     16K
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 20:12     32K
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 20:12    5535
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 20:12    4984
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 20:12     15K
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 20:12     22K
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 20:12    7321
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 20:12    8008
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 20:12     14K
perl-gtk2-1.24993-r7.apk                           01-Jul-2025 19:19    922K
perl-gtk2-doc-1.24993-r7.apk                       01-Jul-2025 19:19    679K
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 20:12     13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 20:12    7472
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 20:12     66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 20:12     81K
perl-guard-1.023-r10.apk                           01-Jul-2025 19:19    9138
perl-guard-doc-1.023-r10.apk                       01-Jul-2025 19:19    5467
perl-hash-merge-extra-0.06-r0.apk                  20-Jun-2025 15:18    3212
perl-hash-merge-extra-doc-0.06-r0.apk              20-Jun-2025 15:18    3449
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 20:12     10K
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 20:12     19K
perl-html-formatexternal-26-r0.apk                 07-Jun-2025 14:55     17K
perl-html-formatexternal-doc-26-r0.apk             07-Jun-2025 14:55     23K
perl-html-formhandler-0.40068-r0.apk               01-May-2025 06:39    135K
perl-html-formhandler-doc-0.40068-r0.apk           01-May-2025 06:39    323K
perl-html-gumbo-0.18-r2.apk                        01-Jul-2025 19:19     15K
perl-html-gumbo-doc-0.18-r2.apk                    01-Jul-2025 19:19    5556
perl-html-object-0.6.0-r0.apk                      16-Oct-2025 16:25    343K
perl-html-object-doc-0.6.0-r0.apk                  16-Oct-2025 16:25    474K
perl-html-query-0.09-r0.apk                        16-Jun-2025 11:51     14K
perl-html-query-doc-0.09-r0.apk                    16-Jun-2025 11:51     10K
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 20:12    6367
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 20:12    4169
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 20:12     18K
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 20:12     10K
perl-html-treebuilder-xpath-0.14-r0.apk            10-Jul-2025 02:27    7990
perl-html-treebuilder-xpath-doc-0.14-r0.apk        10-Jul-2025 02:27    4314
perl-http-headers-actionpack-0.09-r0.apk           13-Jun-2025 18:17     18K
perl-http-headers-actionpack-doc-0.09-r0.apk       13-Jun-2025 18:17     40K
perl-http-thin-0.006-r0.apk                        25-Oct-2024 20:12    3159
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 20:12    3533
perl-http-xsheaders-0.500000-r0.apk                08-Jan-2026 08:18     22K
perl-http-xsheaders-doc-0.500000-r0.apk            08-Jan-2026 08:18    6522
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 20:12    4316
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 20:12    4185
perl-imager-1.028-r1.apk                           01-Jul-2025 19:19    550K
perl-imager-doc-1.028-r1.apk                       01-Jul-2025 19:19    286K
perl-indirect-0.39-r2.apk                          01-Jul-2025 19:19     17K
perl-indirect-doc-0.39-r2.apk                      01-Jul-2025 19:19    6563
perl-io-handle-util-0.02-r0.apk                    11-Jun-2025 16:35     11K
perl-io-handle-util-doc-0.02-r0.apk                11-Jun-2025 16:35     10K
perl-io-interactive-1.027-r0.apk                   01-Sep-2025 13:16    5440
perl-io-interactive-doc-1.027-r0.apk               01-Sep-2025 13:16    5320
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 20:12     75K
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 20:12     68K
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 20:12    5896
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 20:12    3201
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 20:12    3646
perl-json-validator-5.15-r0.apk                    19-Mar-2025 11:46     58K
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 11:46     34K
perl-lexical-persistence-1.023-r0.apk              26-Apr-2025 08:13    7688
perl-lexical-persistence-doc-1.023-r0.apk          26-Apr-2025 08:13    7753
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 20:12    3949
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 20:12    4052
perl-libapreq2-2.17-r3.apk                         01-Jul-2025 19:19    105K
perl-libapreq2-dev-2.17-r3.apk                     01-Jul-2025 19:19     56K
perl-libapreq2-doc-2.17-r3.apk                     01-Jul-2025 19:19     37K
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35    305K
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35    571K
perl-lingua-en-findnumber-1.32-r0.apk              08-Jun-2025 16:33    3330
perl-lingua-en-findnumber-doc-1.32-r0.apk          08-Jun-2025 16:33    3564
perl-lingua-en-inflect-number-1.12-r0.apk          08-Jun-2025 16:33    3279
perl-lingua-en-inflect-number-doc-1.12-r0.apk      08-Jun-2025 16:33    3644
perl-lingua-en-inflect-phrase-0.20-r0.apk          09-Jun-2025 12:06    5444
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk      09-Jun-2025 12:06    3994
perl-lingua-en-number-isordinal-0.05-r0.apk        08-Jun-2025 16:33    3128
perl-lingua-en-number-isordinal-doc-0.05-r0.apk    08-Jun-2025 16:33    3527
perl-lingua-en-tagger-0.31-r0.apk                  08-Jun-2025 16:33    546K
perl-lingua-en-tagger-doc-0.31-r0.apk              08-Jun-2025 16:33    4562
perl-lingua-en-words2nums-0.18-r0.apk              07-Jun-2025 14:55    4706
perl-lingua-en-words2nums-doc-0.18-r0.apk          07-Jun-2025 14:55    3600
perl-lingua-pt-stemmer-0.02-r0.apk                 07-Jun-2025 02:00    5557
perl-lingua-pt-stemmer-doc-0.02-r0.apk             07-Jun-2025 02:00    4383
perl-lingua-stem-2.31-r0.apk                       08-Jun-2025 16:33     12K
perl-lingua-stem-doc-2.31-r0.apk                   08-Jun-2025 16:33     34K
perl-lingua-stem-fr-0.02-r0.apk                    06-Jun-2025 14:57    6275
perl-lingua-stem-fr-doc-0.02-r0.apk                06-Jun-2025 14:57    4027
perl-lingua-stem-it-0.02-r0.apk                    06-Jun-2025 14:57    5345
perl-lingua-stem-it-doc-0.02-r0.apk                06-Jun-2025 14:57    3626
perl-lingua-stem-ru-0.04-r0.apk                    06-Jun-2025 14:57    4219
perl-lingua-stem-ru-doc-0.04-r0.apk                06-Jun-2025 14:57    3835
perl-lingua-stem-snowball-da-1.01-r0.apk           08-Jun-2025 16:33    4431
perl-lingua-stem-snowball-da-doc-1.01-r0.apk       08-Jun-2025 16:33    3104
perl-linux-pid-0.04-r15.apk                        01-Jul-2025 19:19    4990
perl-linux-pid-doc-0.04-r15.apk                    01-Jul-2025 19:19    3141
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 20:12     10K
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 20:12     12K
perl-list-binarysearch-xs-0.09-r2.apk              01-Jul-2025 19:19     13K
perl-list-binarysearch-xs-doc-0.09-r2.apk          01-Jul-2025 19:19    8329
perl-list-keywords-0.11-r1.apk                     01-Jul-2025 19:19     15K
perl-list-keywords-doc-0.11-r1.apk                 01-Jul-2025 19:19    5708
perl-log-fu-0.31-r4.apk                            25-Oct-2024 20:12     11K
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 20:12    7436
perl-log-message-0.08-r3.apk                       25-Oct-2024 20:12     11K
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 20:12     12K
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 20:12    4316
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 20:12    4047
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 20:12    6541
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 20:12    5792
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 20:12     22K
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 20:12     33K
perl-math-int64-0.57-r2.apk                        01-Jul-2025 19:19     35K
perl-math-int64-doc-0.57-r2.apk                    01-Jul-2025 19:19     11K
perl-math-libm-1.00-r15.apk                        01-Jul-2025 19:19     11K
perl-math-libm-doc-1.00-r15.apk                    01-Jul-2025 19:19    3286
perl-math-random-0.75-r0.apk                       01-Oct-2025 04:48     39K
perl-math-random-doc-0.75-r0.apk                   01-Oct-2025 04:48     11K
perl-math-random-isaac-xs-1.004-r9.apk             01-Jul-2025 19:19    8227
perl-math-random-isaac-xs-doc-1.004-r9.apk         01-Jul-2025 19:19    3923
perl-mce-1.902-r0.apk                              10-Sep-2025 03:59    134K
perl-mce-doc-1.902-r0.apk                          10-Sep-2025 03:59    171K
perl-memoize-expirelru-0.56-r0.apk                 08-Jun-2025 16:33    6371
perl-memoize-expirelru-doc-0.56-r0.apk             08-Jun-2025 16:33    3935
perl-minion-11.0-r0.apk                            27-Aug-2025 08:42      2M
perl-minion-backend-pg-11.0-r0.apk                 27-Aug-2025 08:42    9799
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 20:12     11K
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 20:12    6740
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 20:12     10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 20:12    6942
perl-minion-doc-11.0-r0.apk                        27-Aug-2025 08:42     51K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:12    3523
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:12    3866
perl-module-cpants-analyse-1.02-r0.apk             12-Jul-2025 13:43     27K
perl-module-cpants-analyse-doc-1.02-r0.apk         12-Jul-2025 13:43     28K
perl-module-extract-version-1.119-r0.apk           06-Sep-2025 20:30    3327
perl-module-extract-version-doc-1.119-r0.apk       06-Sep-2025 20:30    3541
perl-module-generic-1.1.3-r0.apk                   24-Oct-2025 17:53    324K
perl-module-generic-doc-1.1.3-r0.apk               24-Oct-2025 17:53    262K
perl-module-path-0.19-r0.apk                       08-Jun-2025 16:33    4828
perl-module-path-doc-0.19-r0.apk                   08-Jun-2025 16:33    5940
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 20:12    4847
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 20:12    4489
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 20:12     25K
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 20:12     25K
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 20:12     16K
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 20:12     19K
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 11:46     28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 11:46     33K
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 04:04    4185
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 04:04    4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:04    5567
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:04    5469
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 04:04     14K
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 04:04     25K
perl-moosex-markasmethods-0.15-r0.apk              04-Jun-2025 17:22    5230
perl-moosex-markasmethods-doc-0.15-r0.apk          04-Jun-2025 17:22    5329
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 11:46    8760
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 11:46     22K
perl-moosex-nonmoose-0.27-r1.apk                   19-Jun-2025 10:55    8386
perl-moosex-nonmoose-doc-0.27-r1.apk               19-Jun-2025 10:55    9671
perl-moosex-object-pluggable-0.0014-r0.apk         21-Apr-2025 17:33    6127
perl-moosex-object-pluggable-doc-0.0014-r0.apk     21-Apr-2025 17:33    5630
perl-moosex-relatedclassroles-0.004-r0.apk         01-May-2025 06:39    2865
perl-moosex-relatedclassroles-doc-0.004-r0.apk     01-May-2025 06:39    3425
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 14:08    7910
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 14:08     24K
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 04:04    3880
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 04:04    4256
perl-moosex-traits-pluggable-0.12-r0.apk           01-May-2025 06:39    5064
perl-moosex-traits-pluggable-doc-0.12-r0.apk       01-May-2025 06:39    4310
perl-moosex-types-loadableclass-0.016-r0.apk       30-Apr-2025 16:21    3301
perl-moosex-types-loadableclass-doc-0.016-r0.apk   30-Apr-2025 16:21    3836
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 14:08    4101
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 14:08    4214
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 14:08    3118
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 14:08    3668
perl-moox-typetiny-0.002003-r0.apk                 09-Jun-2025 12:05    3635
perl-moox-typetiny-doc-0.002003-r0.apk             09-Jun-2025 12:05    3241
perl-multidimensional-0.014-r1.apk                 01-Jul-2025 19:19    5050
perl-multidimensional-doc-0.014-r1.apk             01-Jul-2025 19:19    3230
perl-musicbrainz-discid-0.06-r2.apk                01-Jul-2025 19:19    9755
perl-musicbrainz-discid-doc-0.06-r2.apk            01-Jul-2025 19:19    4465
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 20:12    3533
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 20:12    3581
perl-net-amqp-rabbitmq-2.40014-r1.apk              20-Nov-2025 23:47     86K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk          20-Nov-2025 23:47     11K
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22     58K
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22     66K
perl-net-async-redis-xs-1.001-r2.apk               01-Jul-2025 19:19     10K
perl-net-async-redis-xs-doc-1.001-r2.apk           01-Jul-2025 19:19    5525
perl-net-curl-0.57-r1.apk                          01-Jul-2025 19:19     62K
perl-net-curl-doc-0.57-r1.apk                      01-Jul-2025 19:19     39K
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 20:12    9083
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 20:12    2793
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 20:12     12K
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 20:12    3047
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 20:12    3189
perl-net-dbus-1.2.0-r0.apk                         13-Dec-2025 07:43     95K
perl-net-dbus-doc-1.2.0-r0.apk                     13-Dec-2025 07:43     94K
perl-net-idn-encode-2.500-r2.apk                   01-Jul-2025 19:19     84K
perl-net-idn-encode-doc-2.500-r2.apk               01-Jul-2025 19:19     22K
perl-net-irr-0.10-r0.apk                           25-Oct-2024 20:12    5595
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 20:12    5289
perl-net-netmask-2.0003-r0.apk                     18-May-2025 04:10     14K
perl-net-netmask-doc-2.0003-r0.apk                 18-May-2025 04:10    8755
perl-net-patricia-1.24-r0.apk                      20-Nov-2025 15:48     22K
perl-net-patricia-doc-1.24-r0.apk                  20-Nov-2025 15:48    6437
perl-netaddr-mac-0.99-r0.apk                       21-Dec-2025 07:46     10K
perl-netaddr-mac-doc-0.99-r0.apk                   21-Dec-2025 07:46    8277
perl-nice-try-1.3.17-r0.apk                        19-Jul-2025 17:52     28K
perl-nice-try-doc-1.3.17-r0.apk                    19-Jul-2025 17:52     13K
perl-number-format-1.76-r1.apk                     25-Oct-2024 20:12     15K
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 20:12    9227
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 20:12     15K
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 20:12     26K
perl-object-array-0.060-r0.apk                     25-Oct-2024 20:12    5831
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 20:12    7130
perl-object-pad-fieldattr-checked-0.12-r1.apk      01-Jul-2025 19:19    9102
perl-object-pad-fieldattr-checked-doc-0.12-r1.apk  01-Jul-2025 19:19    4637
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:57    3764
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:57    5541
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 20:12    8855
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 20:12    7532
perl-opentracing-1.006-r0.apk                      25-Oct-2024 20:12     18K
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 20:12     33K
perl-pango-1.227-r12.apk                           01-Jul-2025 19:19     85K
perl-pango-doc-1.227-r12.apk                       01-Jul-2025 19:19     82K
perl-parse-distname-0.05-r0.apk                    11-Jul-2025 08:46    5599
perl-parse-distname-doc-0.05-r0.apk                11-Jul-2025 08:46    4479
perl-path-dispatcher-1.08-r0.apk                   09-Jun-2025 12:05     14K
perl-path-dispatcher-doc-1.08-r0.apk               09-Jun-2025 12:05     38K
perl-path-iter-0.2-r3.apk                          25-Oct-2024 20:12    5346
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 20:12    5279
perl-perlio-locale-0.10-r13.apk                    01-Jul-2025 19:19    4720
perl-perlio-locale-doc-0.10-r13.apk                01-Jul-2025 19:19    3189
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 20:12    3971
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 20:12    3432
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36    3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36    3140
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 11:46    3726
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 11:46    4142
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02    2562
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02    3153
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 20:12    3244
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:12    3129
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 11:46    2876
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 11:46    3205
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 20:12    4679
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 20:12    5012
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 20:12     10K
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 20:12     11K
perl-ppi-xs-0.910-r2.apk                           01-Jul-2025 19:19    6039
perl-ppi-xs-doc-0.910-r2.apk                       01-Jul-2025 19:19    3514
perl-prereqscanner-notquitelite-0.9917-r0.apk      12-Jul-2025 13:43     41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk  12-Jul-2025 13:43     49K
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 20:12    3782
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 20:12    3628
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 20:12     11K
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 20:12    2574
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 20:12     12K
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 20:12    2357
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 20:12    3035
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 20:12    2648
perl-promise-me-0.6.0-r0.apk                       09-Aug-2025 09:47     27K
perl-promise-me-doc-0.6.0-r0.apk                   09-Aug-2025 09:47     13K
perl-promise-xs-0.20-r2.apk                        01-Jul-2025 19:19     26K
perl-promise-xs-doc-0.20-r2.apk                    01-Jul-2025 19:19    8968
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 20:12     19K
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:12     36K
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 20:12    5750
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 20:12    5154
perl-protocol-redis-faster-0.004-r0.apk            08-Jan-2026 08:18    2280
perl-protocol-redis-faster-doc-0.004-r0.apk        08-Jan-2026 08:18    3099
perl-ref-util-xs-0.117-r9.apk                      01-Jul-2025 19:19     11K
perl-ref-util-xs-doc-0.117-r9.apk                  01-Jul-2025 19:19    3553
perl-regexp-trie-0.02-r0.apk                       12-Jul-2025 07:22    3046
perl-regexp-trie-doc-0.02-r0.apk                   12-Jul-2025 07:22    3390
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 20:12    3761
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 20:12    4049
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 20:12     26K
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 20:12    2802
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 20:12    9181
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 20:12     23K
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 20:12    2901
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 20:12    9223
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 20:12    2913
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 20:12    9278
perl-ryu-4.001-r0.apk                              25-Oct-2024 20:12     26K
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 20:12    7669
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 20:12     12K
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 20:12     35K
perl-scalar-readonly-0.03-r2.apk                   01-Jul-2025 19:19    5596
perl-scalar-readonly-doc-0.03-r2.apk               01-Jul-2025 19:19    3514
perl-session-storage-secure-1.000-r2.apk           25-Oct-2024 20:12    9043
perl-session-storage-secure-doc-1.000-r2.apk       25-Oct-2024 20:12    7585
perl-set-infinite-0.65-r0.apk                      21-Jul-2025 08:40     23K
perl-set-infinite-doc-0.65-r0.apk                  21-Jul-2025 08:40     11K
perl-shell-config-generate-0.34-r0.apk             08-Jun-2025 16:33    8026
perl-shell-config-generate-doc-0.34-r0.apk         08-Jun-2025 16:33    6996
perl-shell-guess-0.10-r0.apk                       07-Jun-2025 14:55    6113
perl-shell-guess-doc-0.10-r0.apk                   07-Jun-2025 14:55    5916
perl-signature-attribute-checked-0.06-r1.apk       01-Jul-2025 19:19    8255
perl-signature-attribute-checked-doc-0.06-r1.apk   01-Jul-2025 19:19    4745
perl-smart-comments-1.06-r0.apk                    07-Jul-2025 17:02     12K
perl-smart-comments-doc-1.06-r0.apk                07-Jul-2025 17:02    8726
perl-snmp-5.0404-r14.apk                           01-Jul-2025 19:19     74K
perl-snmp-doc-5.0404-r14.apk                       01-Jul-2025 19:19     14K
perl-snmp-info-3.974000-r0.apk                     12-Sep-2025 04:49    337K
perl-snmp-info-doc-3.974000-r0.apk                 12-Sep-2025 04:49    390K
perl-snowball-norwegian-1.2-r0.apk                 08-Jun-2025 16:33    5399
perl-snowball-norwegian-doc-1.2-r0.apk             08-Jun-2025 16:33    3989
perl-snowball-swedish-1.2-r0.apk                   08-Jun-2025 16:33    5367
perl-snowball-swedish-doc-1.2-r0.apk               08-Jun-2025 16:33    3955
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 20:12    110K
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 20:12     90K
perl-software-license-0.104007-r0.apk              08-Jul-2025 16:53    107K
perl-software-license-doc-0.104007-r0.apk          08-Jul-2025 16:53     50K
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 20:12    8883
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 20:12    5596
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 20:12    3834
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 20:12    4246
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 20:12     30K
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 20:12     20K
perl-sql-abstract-more-1.44-r0.apk                 14-Jul-2025 05:59     28K
perl-sql-abstract-more-doc-1.44-r0.apk             14-Jul-2025 05:59     17K
perl-starman-0.4017-r0.apk                         25-Oct-2024 20:12     13K
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 20:12     10K
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 20:12    9439
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 20:12     50K
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 20:12     30K
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 20:12     38K
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 20:12    6864
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 20:12    7077
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 20:12    3268
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 20:12    3549
perl-string-compare-constanttime-0.321-r7.apk      01-Jul-2025 19:19    7657
perl-string-compare-constanttime-doc-0.321-r7.apk  01-Jul-2025 19:19    5418
perl-string-crc32-2.100-r5.apk                     01-Jul-2025 19:19    7529
perl-string-crc32-doc-2.100-r5.apk                 01-Jul-2025 19:19    3518
perl-string-escape-2010.002-r0.apk                 29-Mar-2025 15:58    9084
perl-string-escape-doc-2010.002-r0.apk             29-Mar-2025 15:58    8020
perl-string-toidentifier-en-0.12-r0.apk            09-Jun-2025 12:06    5572
perl-string-toidentifier-en-doc-0.12-r0.apk        09-Jun-2025 12:06    5714
perl-syntax-keyword-match-0.15-r1.apk              01-Jul-2025 19:19     14K
perl-syntax-keyword-match-doc-0.15-r1.apk          01-Jul-2025 19:19    8027
perl-syntax-operator-equ-0.10-r1.apk               01-Jul-2025 19:19    8473
perl-syntax-operator-equ-doc-0.10-r1.apk           01-Jul-2025 19:19    6725
perl-syntax-operator-in-0.10-r1.apk                01-Jul-2025 19:19     10K
perl-syntax-operator-in-doc-0.10-r1.apk            01-Jul-2025 19:19    6049
perl-sys-virt-11.10.0-r0.apk                       03-Dec-2025 16:17    216K
perl-sys-virt-doc-11.10.0-r0.apk                   03-Dec-2025 16:17    106K
perl-system-command-1.122-r0.apk                   25-Oct-2024 20:12     12K
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 20:12     10K
perl-task-catalyst-4.02-r0.apk                     15-Jun-2025 04:48    3021
perl-task-catalyst-doc-4.02-r0.apk                 15-Jun-2025 04:48    3768
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 20:12    2777
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 20:12    3111
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 20:12    5026
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:12    4508
perl-template-timer-1.00-r0.apk                    12-Apr-2025 15:51    3511
perl-template-timer-doc-1.00-r0.apk                12-Apr-2025 15:51    3743
perl-template-tiny-1.16-r0.apk                     24-Jul-2025 13:01    5681
perl-template-tiny-doc-1.16-r0.apk                 24-Jul-2025 13:01    5136
perl-term-size-0.211-r5.apk                        01-Jul-2025 19:19    6083
perl-term-size-doc-0.211-r5.apk                    01-Jul-2025 19:19    3892
perl-term-ui-0.50-r1.apk                           25-Oct-2024 20:12     10K
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 20:12    8706
perl-test-api-0.010-r2.apk                         25-Oct-2024 20:12    5213
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 20:12    4357
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 20:12    6015
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 20:12    5567
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 20:12    3673
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 20:12    4281
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 20:12    7945
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 20:12    6230
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 20:12    7284
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 20:12     20K
perl-test-expect-0.34-r0.apk                       21-Apr-2025 17:35    3550
perl-test-expect-doc-0.34-r0.apk                   21-Apr-2025 17:35    3660
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:54     11K
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:54    6958
perl-test-files-0.26-r0.apk                        25-Oct-2024 20:12    6896
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 20:12     15K
perl-test-kwalitee-1.28-r0.apk                     12-Jul-2025 13:43    6534
perl-test-kwalitee-doc-1.28-r0.apk                 12-Jul-2025 13:43    7062
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 20:12     10K
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 20:12    8550
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 20:12    6571
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 20:12    5412
perl-test-modern-0.013-r3.apk                      25-Oct-2024 20:12     15K
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 20:12     10K
perl-test-perl-critic-1.04-r0.apk                  11-Jul-2025 16:50    7000
perl-test-perl-critic-doc-1.04-r0.apk              11-Jul-2025 16:50    6565
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 20:12    3609
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 20:12    3766
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 20:12    4904
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 20:12    4513
perl-test-roo-1.004-r3.apk                         25-Oct-2024 20:12     12K
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 20:12     15K
perl-test-settings-0.003-r0.apk                    25-Oct-2024 20:12    5064
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 20:12    6188
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 20:12     20K
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 20:12     20K
perl-test-unit-0.29-r0.apk                         09-Dec-2025 08:59     36K
perl-test-unit-doc-0.29-r0.apk                     09-Dec-2025 08:59     49K
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 20:12    3914
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 20:12    3960
perl-test-utf8-1.03-r0.apk                         16-Nov-2024 15:11    5709
perl-test-utf8-doc-1.03-r0.apk                     16-Nov-2024 15:11    4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 14:57     15K
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32    7533
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32    6373
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 14:57     10K
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 20:12    3905
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 20:12    4542
perl-text-brew-0.02-r5.apk                         25-Oct-2024 20:12    4643
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 20:12    4238
perl-text-german-0.06-r0.apk                       07-Jun-2025 02:00     13K
perl-text-german-doc-0.06-r0.apk                   07-Jun-2025 02:00    3107
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 11:46    4569
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 11:46    3535
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 20:12    8266
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 20:12    6810
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 20:12    5486
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 20:12    5347
perl-text-worddiff-0.09-r0.apk                     09-Jun-2025 16:26     10K
perl-text-worddiff-doc-0.09-r0.apk                 09-Jun-2025 16:26     14K
perl-throwable-1.001-r1.apk                        25-Oct-2024 20:12    6368
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 20:12    8199
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 20:12    4027
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 20:12    3494
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:12    4740
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:12    3941
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 20:12    4809
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 20:12    4255
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 20:12    7355
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 20:12    7087
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 20:12    8198
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 20:12    6704
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 11:46    2666
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 11:46    3246
perl-time-moment-0.46-r0.apk                       04-Dec-2025 17:58     47K
perl-time-moment-doc-0.46-r0.apk                   04-Dec-2025 17:58     36K
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 20:12    2814
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 20:12    3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 20:12    3647
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 20:12    4081
perl-time-timegm-0.01-r10.apk                      01-Jul-2025 19:19    7027
perl-time-timegm-doc-0.01-r10.apk                  01-Jul-2025 19:19    3966
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 11:46     20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 11:46     46K
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 20:12    4050
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 20:12    4196
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:27     11K
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:27    8553
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 20:12    7227
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 20:12    7702
perl-uri-find-20160806-r0.apk                      23-Mar-2025 07:58     14K
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 07:58    9343
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 20:12    4105
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 20:12    3996
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 20:12    3261
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 20:12    4683
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 20:12    2777
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 20:12    5077
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 11:46    2398
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 11:46    4431
perl-url-encode-0.03-r4.apk                        25-Oct-2024 20:12    5276
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 20:12    4815
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 20:12    3350
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 20:12    5790
perl-wanted-0.1.0-r0.apk                           09-Aug-2025 09:47     21K
perl-wanted-doc-0.1.0-r0.apk                       09-Aug-2025 09:47     11K
perl-web-machine-0.17-r0.apk                       13-Jun-2025 18:17     20K
perl-web-machine-doc-0.17-r0.apk                   13-Jun-2025 18:17     29K
perl-web-scraper-0.38-r0.apk                       11-Jul-2025 16:50    7709
perl-web-scraper-doc-0.38-r0.apk                   11-Jul-2025 16:50    8269
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 20:12    7033
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 20:12    7776
perl-x11-korgwm-5.0-r0.apk                         13-Dec-2025 07:43     41K
perl-x11-korgwm-doc-5.0-r0.apk                     13-Dec-2025 07:43     12K
perl-x11-xcb-0.24-r0.apk                           13-Dec-2025 07:43    168K
perl-x11-xcb-doc-0.24-r0.apk                       13-Dec-2025 07:43     13K
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 20:12     20K
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 20:12     16K
perl-xml-bare-0.53-r14.apk                         01-Jul-2025 19:19     29K
perl-xml-bare-doc-0.53-r14.apk                     01-Jul-2025 19:19     11K
perl-xml-descent-1.04-r0.apk                       13-Dec-2025 07:43    7644
perl-xml-descent-doc-1.04-r0.apk                   13-Dec-2025 07:43    7312
perl-xml-feed-1.0.0-r0.apk                         17-Nov-2025 18:33     14K
perl-xml-feed-doc-1.0.0-r0.apk                     17-Nov-2025 18:33     13K
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 20:12    5091
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 20:12    5546
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 20:12    5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 20:12    4977
perl-xml-tokeparser-0.05-r0.apk                    13-Dec-2025 07:43    8071
perl-xml-tokeparser-doc-0.05-r0.apk                13-Dec-2025 07:43    7067
perl-xml-xpathengine-0.14-r0.apk                   08-Jul-2025 16:54     22K
perl-xml-xpathengine-doc-0.14-r0.apk               08-Jul-2025 16:54     11K
perl-xs-object-magic-0.05-r0.apk                   13-Dec-2025 07:43     11K
perl-xs-object-magic-doc-0.05-r0.apk               13-Dec-2025 07:43    5456
persistent-cache-cpp-1.0.9-r0.apk                  08-Sep-2025 01:00     50K
persistent-cache-cpp-dev-1.0.9-r0.apk              08-Sep-2025 01:00     18K
persistent-cache-cpp-doc-1.0.9-r0.apk              08-Sep-2025 01:00    3272
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 20:12      1M
petitboot-1.15-r0.apk                              14-Nov-2025 22:05    193K
petitboot-dbg-1.15-r0.apk                          14-Nov-2025 22:05    527K
petitboot-doc-1.15-r0.apk                          14-Nov-2025 22:05    8244
pfetch-1.9.4-r0.apk                                21-Oct-2025 14:59     23K
pfetch-doc-1.9.4-r0.apk                            21-Oct-2025 14:59    5861
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:38      3M
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:38    1921
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 20:12      4M
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 20:12    1816
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 20:12    287K
phosh-osk-data-0.42.0-r0.apk                       16-Dec-2025 16:43    1312
phosh-osk-data-de-0.42.0-r0.apk                    16-Dec-2025 16:43     64M
phosh-osk-data-es-0.42.0-r0.apk                    16-Dec-2025 16:43     52M
phosh-osk-data-fi-0.42.0-r0.apk                    16-Dec-2025 16:43     64M
phosh-osk-data-it-0.42.0-r0.apk                    16-Dec-2025 16:43     58M
phosh-osk-data-nl-0.42.0-r0.apk                    16-Dec-2025 16:43     54M
phosh-osk-data-pl-0.42.0-r0.apk                    16-Dec-2025 16:43     57M
phosh-osk-data-pt-0.42.0-r0.apk                    16-Dec-2025 16:43     57M
phosh-osk-data-ru-0.42.0-r0.apk                    16-Dec-2025 16:43     20M
phosh-osk-data-se-0.42.0-r0.apk                    16-Dec-2025 16:43     15M
phosh-osk-data-uk-0.42.0-r0.apk                    16-Dec-2025 16:43     16M
phosh-tour-0.52.0-r0.apk                           04-Jan-2026 16:14     40K
phosh-tour-lang-0.52.0-r0.apk                      04-Jan-2026 16:14     36K
phosh-tour-systemd-0.52.0-r0.apk                   04-Jan-2026 16:14    1811
php81-8.1.34-r0.apk                                17-Dec-2025 02:32      2M
php81-apache2-8.1.34-r0.apk                        17-Dec-2025 02:32      2M
php81-bcmath-8.1.34-r0.apk                         17-Dec-2025 02:32     17K
php81-bz2-8.1.34-r0.apk                            17-Dec-2025 02:32     11K
php81-calendar-8.1.34-r0.apk                       17-Dec-2025 02:32     13K
php81-cgi-8.1.34-r0.apk                            17-Dec-2025 02:32      2M
php81-common-8.1.34-r0.apk                         17-Dec-2025 02:32     25K
php81-ctype-8.1.34-r0.apk                          17-Dec-2025 02:32    5060
php81-curl-8.1.34-r0.apk                           17-Dec-2025 02:32     38K
php81-dba-8.1.34-r0.apk                            17-Dec-2025 02:32     25K
php81-dev-8.1.34-r0.apk                            17-Dec-2025 02:32    939K
php81-doc-8.1.34-r0.apk                            17-Dec-2025 02:32     68K
php81-dom-8.1.34-r0.apk                            17-Dec-2025 02:32     65K
php81-embed-8.1.34-r0.apk                          17-Dec-2025 02:32      2M
php81-enchant-8.1.34-r0.apk                        17-Dec-2025 02:32    9120
php81-exif-8.1.34-r0.apk                           17-Dec-2025 02:32     30K
php81-ffi-8.1.34-r0.apk                            17-Dec-2025 02:32     68K
php81-fileinfo-8.1.34-r0.apk                       17-Dec-2025 02:32    383K
php81-fpm-8.1.34-r0.apk                            17-Dec-2025 02:32      2M
php81-ftp-8.1.34-r0.apk                            17-Dec-2025 02:32     25K
php81-gd-8.1.34-r0.apk                             17-Dec-2025 02:32    135K
php81-gettext-8.1.34-r0.apk                        17-Dec-2025 02:32    6438
php81-gmp-8.1.34-r0.apk                            17-Dec-2025 02:32     21K
php81-iconv-8.1.34-r0.apk                          17-Dec-2025 02:32     19K
php81-imap-8.1.34-r0.apk                           17-Dec-2025 02:32     35K
php81-intl-8.1.34-r0.apk                           17-Dec-2025 02:32    150K
php81-ldap-8.1.34-r0.apk                           17-Dec-2025 02:32     34K
php81-litespeed-8.1.34-r0.apk                      17-Dec-2025 02:32      2M
php81-mbstring-8.1.34-r0.apk                       17-Dec-2025 02:32    577K
php81-mysqli-8.1.34-r0.apk                         17-Dec-2025 02:32     42K
php81-mysqlnd-8.1.34-r0.apk                        17-Dec-2025 02:32     84K
php81-odbc-8.1.34-r0.apk                           17-Dec-2025 02:32     25K
php81-opcache-8.1.34-r0.apk                        17-Dec-2025 02:32    349K
php81-openssl-8.1.34-r0.apk                        17-Dec-2025 02:32     80K
php81-pcntl-8.1.34-r0.apk                          17-Dec-2025 02:32     14K
php81-pdo-8.1.34-r0.apk                            17-Dec-2025 02:32     44K
php81-pdo_dblib-8.1.34-r0.apk                      17-Dec-2025 02:32     13K
php81-pdo_mysql-8.1.34-r0.apk                      17-Dec-2025 02:32     14K
php81-pdo_odbc-8.1.34-r0.apk                       17-Dec-2025 02:32     13K
php81-pdo_pgsql-8.1.34-r0.apk                      17-Dec-2025 02:32     21K
php81-pdo_sqlite-8.1.34-r0.apk                     17-Dec-2025 02:32     14K
php81-pear-8.1.34-r0.apk                           17-Dec-2025 02:32    338K
php81-pecl-amqp-2.2.0-r0.apk                       03-Jan-2026 02:10     51K
php81-pecl-apcu-5.1.28-r0.apk                      07-Dec-2025 15:54     56K
php81-pecl-ast-1.1.3-r0.apk                        10-Aug-2025 17:42     20K
php81-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 02:45     15K
php81-pecl-couchbase-4.3.0-r0.apk                  15-Jun-2025 04:25      5M
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45     10K
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 20:12     19K
php81-pecl-ds-1.6.0-r0.apk                         07-May-2025 13:16     62K
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 20:12     51K
php81-pecl-grpc-1.76.0-r0.apk                      24-Oct-2025 19:28      5M
php81-pecl-igbinary-3.2.17_rc1-r0.apk              27-Nov-2025 21:26     32K
php81-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 00:13    110K
php81-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 00:13    2348
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12     42K
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 20:12     31K
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 20:12    7539
php81-pecl-mailparse-3.1.9-r0.apk                  30-Sep-2025 12:38     24K
php81-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 00:42    8578
php81-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42     15K
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 20:12     43K
php81-pecl-mongodb-2.1.4-r0.apk                    08-Oct-2025 20:26    834K
php81-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37     36K
php81-pecl-opentelemetry-1.2.1-r0.apk              04-Oct-2025 23:15     13K
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17    9732
php81-pecl-protobuf-4.32.1-r0.apk                  01-Oct-2025 01:59    151K
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 20:12     17K
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:52     37K
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:02     21K
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 20:12     27K
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06    189K
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 20:12    6821
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 20:12     10K
php81-pecl-uuid-1.3.0-r0.apk                       12-May-2025 23:18    6851
php81-pecl-xdebug-3.5.0-r0.apk                     04-Dec-2025 22:56    153K
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 20:12     13K
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 20:12    801K
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44    229K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 20:12     37K
php81-pecl-yaml-2.3.0-r0.apk                       14-Nov-2025 18:39     19K
php81-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34     58K
php81-pecl-zstd-0.15.2-r0.apk                      09-Sep-2025 18:55     17K
php81-pgsql-8.1.34-r0.apk                          17-Dec-2025 02:32     49K
php81-phar-8.1.34-r0.apk                           17-Dec-2025 02:32    131K
php81-phpdbg-8.1.34-r0.apk                         17-Dec-2025 02:32      2M
php81-posix-8.1.34-r0.apk                          17-Dec-2025 02:32     12K
php81-pspell-8.1.34-r0.apk                         17-Dec-2025 02:32    8638
php81-session-8.1.34-r0.apk                        17-Dec-2025 02:32     39K
php81-shmop-8.1.34-r0.apk                          17-Dec-2025 02:32    6508
php81-simplexml-8.1.34-r0.apk                      17-Dec-2025 02:32     25K
php81-snmp-8.1.34-r0.apk                           17-Dec-2025 02:32     22K
php81-soap-8.1.34-r0.apk                           17-Dec-2025 02:32    146K
php81-sockets-8.1.34-r0.apk                        17-Dec-2025 02:32     38K
php81-sodium-8.1.34-r0.apk                         17-Dec-2025 02:32     27K
php81-sqlite3-8.1.34-r0.apk                        17-Dec-2025 02:32     22K
php81-sysvmsg-8.1.34-r0.apk                        17-Dec-2025 02:32    8365
php81-sysvsem-8.1.34-r0.apk                        17-Dec-2025 02:32    6183
php81-sysvshm-8.1.34-r0.apk                        17-Dec-2025 02:32    7152
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 20:12     14K
php81-tidy-8.1.34-r0.apk                           17-Dec-2025 02:32     20K
php81-tokenizer-8.1.34-r0.apk                      17-Dec-2025 02:32     12K
php81-xml-8.1.34-r0.apk                            17-Dec-2025 02:32     20K
php81-xmlreader-8.1.34-r0.apk                      17-Dec-2025 02:32     14K
php81-xmlwriter-8.1.34-r0.apk                      17-Dec-2025 02:32     12K
php81-xsl-8.1.34-r0.apk                            17-Dec-2025 02:32     14K
php81-zip-8.1.34-r0.apk                            17-Dec-2025 02:32     28K
php82-8.2.30-r1.apk                                17-Dec-2025 20:24      2M
php82-apache2-8.2.30-r1.apk                        17-Dec-2025 20:24      2M
php82-bcmath-8.2.30-r1.apk                         17-Dec-2025 20:24     17K
php82-bz2-8.2.30-r1.apk                            17-Dec-2025 20:24     11K
php82-calendar-8.2.30-r1.apk                       17-Dec-2025 20:24     13K
php82-cgi-8.2.30-r1.apk                            17-Dec-2025 20:24      2M
php82-common-8.2.30-r1.apk                         17-Dec-2025 20:24     25K
php82-ctype-8.2.30-r1.apk                          17-Dec-2025 20:24    5038
php82-curl-8.2.30-r1.apk                           17-Dec-2025 20:24     41K
php82-dba-8.2.30-r1.apk                            17-Dec-2025 20:24     26K
php82-dbg-8.2.30-r1.apk                            17-Dec-2025 20:24     42M
php82-dev-8.2.30-r1.apk                            17-Dec-2025 20:24    968K
php82-doc-8.2.30-r1.apk                            17-Dec-2025 20:24     73K
php82-dom-8.2.30-r1.apk                            17-Dec-2025 20:24     67K
php82-embed-8.2.30-r1.apk                          17-Dec-2025 20:24      2M
php82-enchant-8.2.30-r1.apk                        17-Dec-2025 20:24    9100
php82-exif-8.2.30-r1.apk                           17-Dec-2025 20:24     30K
php82-ffi-8.2.30-r1.apk                            17-Dec-2025 20:24     70K
php82-fileinfo-8.2.30-r1.apk                       17-Dec-2025 20:24    384K
php82-fpm-8.2.30-r1.apk                            17-Dec-2025 20:24      2M
php82-ftp-8.2.30-r1.apk                            17-Dec-2025 20:24     25K
php82-gd-8.2.30-r1.apk                             17-Dec-2025 20:24    135K
php82-gettext-8.2.30-r1.apk                        17-Dec-2025 20:24    6525
php82-gmp-8.2.30-r1.apk                            17-Dec-2025 20:24     22K
php82-iconv-8.2.30-r1.apk                          17-Dec-2025 20:24     19K
php82-imap-8.2.30-r1.apk                           17-Dec-2025 20:24     36K
php82-intl-8.2.30-r1.apk                           17-Dec-2025 20:24    166K
php82-ldap-8.2.30-r1.apk                           17-Dec-2025 20:24     34K
php82-litespeed-8.2.30-r1.apk                      17-Dec-2025 20:24      2M
php82-mbstring-8.2.30-r1.apk                       17-Dec-2025 20:24    643K
php82-mysqli-8.2.30-r1.apk                         17-Dec-2025 20:24     43K
php82-mysqlnd-8.2.30-r1.apk                        17-Dec-2025 20:24     84K
php82-odbc-8.2.30-r1.apk                           17-Dec-2025 20:24     26K
php82-opcache-8.2.30-r1.apk                        17-Dec-2025 20:24    347K
php82-openssl-8.2.30-r1.apk                        17-Dec-2025 20:24     82K
php82-pcntl-8.2.30-r1.apk                          17-Dec-2025 20:24     16K
php82-pdo-8.2.30-r1.apk                            17-Dec-2025 20:24     45K
php82-pdo_dblib-8.2.30-r1.apk                      17-Dec-2025 20:24     13K
php82-pdo_mysql-8.2.30-r1.apk                      17-Dec-2025 20:24     14K
php82-pdo_odbc-8.2.30-r1.apk                       17-Dec-2025 20:24     14K
php82-pdo_pgsql-8.2.30-r1.apk                      17-Dec-2025 20:24     21K
php82-pdo_sqlite-8.2.30-r1.apk                     17-Dec-2025 20:24     14K
php82-pear-8.2.30-r1.apk                           17-Dec-2025 20:24    338K
php82-pecl-amqp-2.2.0-r0.apk                       03-Jan-2026 02:10     51K
php82-pecl-apcu-5.1.28-r0.apk                      07-Dec-2025 15:54     57K
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12    4724
php82-pecl-ast-1.1.3-r0.apk                        24-Oct-2025 02:17     20K
php82-pecl-brotli-0.18.3-r0.apk                    01-Dec-2025 02:45     15K
php82-pecl-couchbase-4.3.0-r0.apk                  24-Oct-2025 01:33      5M
php82-pecl-decimal-1.5.0-r1.apk                    24-Oct-2025 02:17     19K
php82-pecl-ds-1.6.0-r0.apk                         24-Oct-2025 02:17     62K
php82-pecl-event-3.1.4-r0.apk                      24-Oct-2025 02:17     51K
php82-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:43     21K
php82-pecl-grpc-1.76.0-r0.apk                      24-Oct-2025 19:28      5M
php82-pecl-igbinary-3.2.17_rc1-r0.apk              27-Nov-2025 21:26     32K
php82-pecl-imagick-3.8.1-r0.apk                    28-Nov-2025 00:13    110K
php82-pecl-imagick-dev-3.8.1-r0.apk                28-Nov-2025 00:13    2347
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12     42K
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php82-pecl-luasandbox-4.1.2-r0.apk                 24-Oct-2025 02:17     31K
php82-pecl-lzf-1.7.0-r0.apk                        24-Oct-2025 02:17    7568
php82-pecl-mailparse-3.1.9-r0.apk                  24-Oct-2025 02:17     24K
php82-pecl-maxminddb-1.13.0-r0.apk                 21-Nov-2025 00:42    8584
php82-pecl-mcrypt-1.0.9-r0.apk                     24-Oct-2025 02:17     15K
php82-pecl-memcache-8.2-r2.apk                     24-Oct-2025 02:17     43K
php82-pecl-memcached-3.4.0-r0.apk                  24-Oct-2025 02:17     47K
php82-pecl-mongodb-2.1.4-r0.apk                    24-Oct-2025 02:17    834K
php82-pecl-msgpack-3.0.0-r0.apk                    24-Oct-2025 02:17     27K
php82-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37     36K
php82-pecl-opentelemetry-1.2.1-r0.apk              24-Oct-2025 02:17     13K
php82-pecl-pcov-1.0.12-r0.apk                      24-Oct-2025 02:17    9916
php82-pecl-protobuf-4.32.1-r0.apk                  24-Oct-2025 02:17    151K
php82-pecl-psr-1.2.0-r1.apk                        24-Oct-2025 02:17     16K
php82-pecl-rdkafka-6.0.5-r0.apk                    24-Oct-2025 02:17     37K
php82-pecl-redis-6.3.0-r0.apk                      07-Nov-2025 16:49    185K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 20:12     30K
php82-pecl-smbclient-1.2.0_pre-r0.apk              24-Oct-2025 02:17     21K
php82-pecl-ssh2-1.4.1-r0.apk                       24-Oct-2025 02:17     27K
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 20:12    126K
php82-pecl-timezonedb-2025.2-r0.apk                24-Oct-2025 02:17    190K
php82-pecl-uploadprogress-2.0.2-r2.apk             24-Oct-2025 02:17    6824
php82-pecl-uploadprogress-doc-2.0.2-r2.apk         24-Oct-2025 02:17    9819
php82-pecl-uuid-1.3.0-r0.apk                       24-Oct-2025 02:17    6892
php82-pecl-vips-1.0.13-r0.apk                      24-Oct-2025 02:17     17K
php82-pecl-vld-0.19.1-r0.apk                       20-Jul-2025 13:28     15K
php82-pecl-xdebug-3.5.0-r0.apk                     04-Dec-2025 22:56    153K
php82-pecl-xhprof-2.3.10-r0.apk                    24-Oct-2025 02:17     13K
php82-pecl-xhprof-assets-2.3.10-r0.apk             24-Oct-2025 02:17    800K
php82-pecl-xlswriter-1.5.8-r0.apk                  24-Oct-2025 02:17    229K
php82-pecl-yaml-2.3.0-r0.apk                       14-Nov-2025 18:39     19K
php82-pecl-zephir_parser-1.8.0-r0.apk              29-Sep-2025 12:34     58K
php82-pecl-zstd-0.15.2-r0.apk                      24-Oct-2025 02:17     17K
php82-pgsql-8.2.30-r1.apk                          17-Dec-2025 20:24     49K
php82-phar-8.2.30-r1.apk                           17-Dec-2025 20:24    131K
php82-phpdbg-8.2.30-r1.apk                         17-Dec-2025 20:24      2M
php82-posix-8.2.30-r1.apk                          17-Dec-2025 20:24     12K
php82-pspell-8.2.30-r1.apk                         17-Dec-2025 20:24    8599
php82-session-8.2.30-r1.apk                        17-Dec-2025 20:24     40K
php82-shmop-8.2.30-r1.apk                          17-Dec-2025 20:24    6482
php82-simplexml-8.2.30-r1.apk                      17-Dec-2025 20:24     25K
php82-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13    5527
php82-snmp-8.2.30-r1.apk                           17-Dec-2025 20:24     23K
php82-soap-8.2.30-r1.apk                           17-Dec-2025 20:24    148K
php82-sockets-8.2.30-r1.apk                        17-Dec-2025 20:24     39K
php82-sodium-8.2.30-r1.apk                         17-Dec-2025 20:24     28K
php82-sqlite3-8.2.30-r1.apk                        17-Dec-2025 20:24     24K
php82-sysvmsg-8.2.30-r1.apk                        17-Dec-2025 20:24    8693
php82-sysvsem-8.2.30-r1.apk                        17-Dec-2025 20:24    6161
php82-sysvshm-8.2.30-r1.apk                        17-Dec-2025 20:24    7195
php82-tidy-8.2.30-r1.apk                           17-Dec-2025 20:24     21K
php82-tokenizer-8.2.30-r1.apk                      17-Dec-2025 20:24     12K
php82-xml-8.2.30-r1.apk                            17-Dec-2025 20:24     20K
php82-xmlreader-8.2.30-r1.apk                      17-Dec-2025 20:24     14K
php82-xmlwriter-8.2.30-r1.apk                      17-Dec-2025 20:24     12K
php82-xsl-8.2.30-r1.apk                            17-Dec-2025 20:24     14K
php82-zip-8.2.30-r1.apk                            17-Dec-2025 20:24     31K
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12    4717
php83-pecl-eio-3.1.4-r0.apk                        20-Jul-2025 15:26     29K
php83-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25     42K
php83-pecl-excimer-1.2.5-r0.apk                    20-May-2025 15:43     21K
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php83-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37     36K
php83-pecl-phpy-1.0.11-r1.apk                      12-May-2025 21:41     44K
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 20:12     49K
php83-pecl-vld-0.19.1-r0.apk                       20-Jul-2025 13:28     15K
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 20:12     32K
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45     10K
php84-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25     42K
php84-pecl-mcrypt-1.0.9-r0.apk                     05-Aug-2025 12:42     15K
php84-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37     36K
php84-pecl-phpy-1.0.11-r1.apk                      12-May-2025 21:41     44K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk             31-Dec-2025 18:35     34K
php84-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 05:10     87K
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47     49K
php84-pecl-vld-0.19.1-r0.apk                       20-Jul-2025 13:28     15K
php84-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13    5528
php85-pecl-ev-1.2.2-r0.apk                         07-Nov-2025 17:25     42K
php85-pecl-luasandbox-4.1.3-r0.apk                 20-Dec-2025 11:45     32K
php85-pecl-oauth-2.0.10-r0.apk                     09-Oct-2025 18:37     40K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk             31-Dec-2025 18:35     34K
php85-pecl-solr-2.9.1-r0.apk                       25-Nov-2025 05:10     87K
php85-pecl-vld-0.19.1-r1.apk                       24-Sep-2025 13:23     15K
php85-snappy-0.2.3-r0.apk                          25-Dec-2025 00:03    5527
phpactor-2025.10.17.0-r0.apk                       19-Nov-2025 03:57      4M
pick-4.0.0-r0.apk                                  25-Oct-2024 20:12    9926
pick-doc-4.0.0-r0.apk                              25-Oct-2024 20:12    3405
pict-rs-0.5.19-r1.apk                              14-Oct-2025 13:41      6M
pict-rs-openrc-0.5.19-r1.apk                       14-Oct-2025 13:41    1933
pidif-0.1-r1.apk                                   25-Oct-2024 20:12    165K
piglit-0_git20241106-r1.apk                        12-May-2025 21:41     89M
pihole-6.2.3-r0.apk                                20-Jun-2025 18:05      6M
pihole-bash-completion-6.2.3-r0.apk                20-Jun-2025 18:05    2236
pihole-doc-6.2.3-r0.apk                            20-Jun-2025 18:05    3841
pihole-openrc-6.2.3-r0.apk                         20-Jun-2025 18:05    1888
pikchr-cmd-1.0.0-r0.apk                            25-Oct-2025 09:43     43K
pikchr-cmd-doc-1.0.0-r0.apk                        25-Oct-2025 09:43    2297
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 20:12     91K
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 20:12     57K
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 20:12     20K
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 20:12    1898
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 20:12     35K
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 20:12    1664
pinentry-bemenu-0.14.0-r1.apk                      06-Jul-2025 00:16    8898
piping-server-0.18.0-r0.apk                        25-Oct-2024 20:12      1M
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 20:12    1838
pithos-1.6.1-r0.apk                                25-Oct-2024 20:12    104K
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 20:12    2143
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 20:12    154K
pitivi-2023.03-r2.apk                              22-Dec-2024 22:04      3M
pitivi-lang-2023.03-r2.apk                         22-Dec-2024 22:04    678K
pitivi-pyc-2023.03-r2.apk                          22-Dec-2024 22:04    700K
pixi-0.24.2-r0.apk                                 25-Oct-2024 20:12      9M
pixi-bash-completion-0.24.2-r0.apk                 25-Oct-2024 20:12    7326
pixi-doc-0.24.2-r0.apk                             25-Oct-2024 20:12    7035
pixi-fish-completion-0.24.2-r0.apk                 25-Oct-2024 20:12     10K
pixi-zsh-completion-0.24.2-r0.apk                  25-Oct-2024 20:12     10K
pixiewps-1.4.2-r2.apk                              12-May-2025 21:41     54K
pixiewps-doc-1.4.2-r2.apk                          12-May-2025 21:41    3483
plakar-1.0.6-r1.apk                                04-Dec-2025 14:22     20M
plakar-doc-1.0.6-r1.apk                            04-Dec-2025 14:22    2129
planarity-4.0.1.0-r0.apk                           19-Oct-2025 05:35     26K
planarity-dev-4.0.1.0-r0.apk                       19-Oct-2025 05:35     23K
planarity-doc-4.0.1.0-r0.apk                       19-Oct-2025 05:35     13K
planarity-libs-4.0.1.0-r0.apk                      19-Oct-2025 05:35     82K
planner-0.14.92-r1.apk                             08-Dec-2024 21:39    358K
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:39    2205
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:39    825K
platformio-core-6.1.7-r3.apk                       25-Oct-2024 20:12    263K
platformio-core-pyc-6.1.7-r3.apk                   25-Oct-2024 20:12    552K
please-0.5.6-r0.apk                                29-Aug-2025 08:46      1M
please-doc-0.5.6-r0.apk                            29-Aug-2025 08:46     16K
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47     13K
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47    6634
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47     39K
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47     46K
plib-1.8.5-r3.apk                                  25-Oct-2024 20:12    853K
plots-0.7.0-r1.apk                                 06-Nov-2024 09:41    516K
plplot-5.15.0-r2.apk                               25-Oct-2024 20:12     31K
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 20:12     59K
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 20:12    311K
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 20:12    216K
pmccabe-2.8-r1.apk                                 25-Oct-2024 20:12     22K
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 20:12    7319
pneink-theme-1.3-r0.apk                            30-Jul-2025 00:26     10K
pneink-theme-doc-1.3-r0.apk                        30-Jul-2025 00:26    1690
pnmixer-0.7.2-r3.apk                               25-Oct-2024 20:12    142K
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 20:12    2357
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 20:12     25K
pokoy-0.2.5-r0.apk                                 25-Oct-2024 20:12     11K
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 20:12    3064
policycoreutils-3.6-r1.apk                         25-Oct-2024 20:12     53K
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 20:12    2472
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 20:12     22K
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 20:12    105K
pomo-0.8.1-r28.apk                                 04-Dec-2025 14:22      2M
pomo-doc-0.8.1-r28.apk                             04-Dec-2025 14:22    2807
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 20:12    2425
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 07:55     13M
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 07:55      1M
popeye-0.22.1-r9.apk                               04-Dec-2025 14:22     30M
porla-0.41.0-r2.apk                                24-Feb-2025 15:10      4M
porla-doc-0.41.0-r2.apk                            24-Feb-2025 15:10    2245
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 15:10    2751
portsmf-239-r2.apk                                 13-Oct-2025 20:02     61K
portsmf-dev-239-r2.apk                             13-Oct-2025 20:02     20K
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 20:12    622K
postgresql-pg_variables-1.2.5_git20230922-r1.apk   03-Nov-2025 16:12     26K
postgresql-pg_variables-bitcode-1.2.5_git202309..> 03-Nov-2025 16:12     45K
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 20:12    264K
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 20:12     72K
pounce-3.1-r4.apk                                  12-Sep-2025 22:22     29K
pounce-doc-3.1-r4.apk                              12-Sep-2025 22:22    8750
pounce-openrc-3.1-r4.apk                           12-Sep-2025 22:22    2810
powder-toy-97.0.352-r1.apk                         25-Oct-2024 20:12    848K
powerstat-0.04.01-r0.apk                           25-Oct-2024 20:12     20K
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 20:12    2349
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 20:12    4366
pptpclient-1.10.0-r6.apk                           01-Jul-2025 19:19     33K
pptpclient-doc-1.10.0-r6.apk                       01-Jul-2025 19:19    7385
pqiv-2.12-r1.apk                                   25-Oct-2024 20:12     72K
pqiv-doc-2.12-r1.apk                               25-Oct-2024 20:12     12K
predict-2.3.1-r0.apk                               23-Nov-2024 19:05    108K
predict-doc-2.3.1-r0.apk                           23-Nov-2024 19:05     16K
primecount-7.20-r0.apk                             25-Nov-2025 19:10     33K
primecount-dev-7.20-r0.apk                         25-Nov-2025 19:10      2M
primecount-doc-7.20-r0.apk                         25-Nov-2025 19:10    4315
primecount-libs-7.20-r0.apk                        25-Nov-2025 19:10    176K
primesieve-12.10-r0.apk                            25-Nov-2025 19:10     52K
primesieve-dev-12.10-r0.apk                        25-Nov-2025 19:10      1M
primesieve-doc-12.10-r0.apk                        25-Nov-2025 19:10    4164
primesieve-libs-12.10-r0.apk                       25-Nov-2025 19:10    147K
prjtrellis-1.4-r2.apk                              25-Oct-2024 20:12      1M
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 20:12    3380
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 20:12      2M
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 20:12     39K
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 20:12   1013K
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 20:12      1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 20:12    748K
projectm-3.1.12-r2.apk                             25-Oct-2024 20:12    462K
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 20:12    605K
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 20:12      4M
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 20:12    434K
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 20:12    2051
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 20:12    339K
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 20:12    5067
prometheus-fastly-exporter-10.2.0-r0.apk           23-Dec-2025 21:03      5M
prometheus-opnsense-exporter-0.0.11-r2.apk         04-Dec-2025 14:22      5M
prometheus-opnsense-exporter-openrc-0.0.11-r2.apk  04-Dec-2025 14:22    2146
prometheus-podman-exporter-1.18.1-r2.apk           04-Dec-2025 14:22     16M
prometheus-rethinkdb-exporter-1.0.1-r33.apk        04-Dec-2025 14:22      4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r33.apk 04-Dec-2025 14:22    1707
prometheus-smartctl-exporter-0.14.0-r5.apk         04-Dec-2025 14:22      5M
prometheus-smartctl-exporter-openrc-0.14.0-r5.apk  04-Dec-2025 14:22    1937
prometheus-smtp2go-exporter-0.1.1-r4.apk           04-Dec-2025 14:22      4M
prometheus-smtp2go-exporter-openrc-0.1.1-r4.apk    04-Dec-2025 14:22    2024
prometheus-unbound-exporter-0.4.6-r5.apk           12-May-2025 07:42      4M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk    12-May-2025 07:42    2008
proot-5.4.0-r1.apk                                 25-Oct-2024 20:12     79K
proot-doc-5.4.0-r1.apk                             25-Oct-2024 20:12     10K
proot-static-5.4.0-r1.apk                          25-Oct-2024 20:12    117K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    2881
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    2703
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:12    1808
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    3184
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    1893
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:12    2049
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 20:12    7387
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 20:12    3348
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 20:12    2901
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:12    2960
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 20:12    2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:12    2236
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 20:12    6023
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 20:12    5687
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:12    7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    3809
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 20:12    104K
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:12    2771
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 20:12    2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 20:12    1753
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:12    2064
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 20:12    2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 20:12    8787
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 20:12    2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:12    2072
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    2726
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 20:12    2700
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 20:12    1488
protoc-gen-bq-schema-3.1.0-r0.apk                  24-Dec-2025 15:23      2M
protoc-gen-doc-1.5.1-r0.apk                        05-Jan-2026 17:24      4M
protoc-gen-doc-doc-1.5.1-r0.apk                    05-Jan-2026 17:24    2328
protoc-gen-go-1.36.10-r1.apk                       04-Dec-2025 14:22      2M
protoc-gen-go-grpc-1.77.0-r0.apk                   22-Dec-2025 15:14      2M
protoc-gen-gorm-1.1.5-r0.apk                       24-Dec-2025 15:02      3M
protoconf-0.1.7-r18.apk                            04-Dec-2025 14:22      8M
proverif-2.05-r1.apk                               11-Jan-2026 22:38      1M
proverif-emacs-2.05-r1.apk                         11-Jan-2026 22:38    2941
proverif-examples-2.05-r1.apk                      11-Jan-2026 22:38    169K
proverif-interact-2.05-r1.apk                      11-Jan-2026 22:38      2M
proverif-utils-2.05-r1.apk                         11-Jan-2026 22:38    445K
psftools-1.1.2-r0.apk                              25-Oct-2024 20:12    190K
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 20:12     43K
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 20:12     60K
psi-notify-1.3.1-r0.apk                            25-Oct-2024 20:12     12K
pspp-2.0.1-r1.apk                                  06-Oct-2025 10:56      9M
pspp-dbg-2.0.1-r1.apk                              06-Oct-2025 10:56      4M
pspp-doc-2.0.1-r1.apk                              06-Oct-2025 10:56    9207
psst-0_git20240526-r1.apk                          25-Oct-2024 20:12      7M
ptouch-print-1.7-r0.apk                            13-Sep-2025 13:01     30K
ptouch-print-doc-1.7-r0.apk                        13-Sep-2025 13:01    3206
ptpd-2.3.1-r1.apk                                  25-Oct-2024 20:12    180K
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 20:12     20K
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 20:12    2448
ptylie-0.2-r2.apk                                  12-May-2025 21:41     11K
ptylie-doc-0.2-r2.apk                              12-May-2025 21:41    3233
pug-0.6.5-r0.apk                                   04-Dec-2025 14:22      4M
pully-1.0.0-r0.apk                                 25-Oct-2024 20:12    2584
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 20:12    1759
pulsar-client-cpp-3.7.1-r0.apk                     12-Jun-2025 14:06      1M
pulsar-client-cpp-dev-3.7.1-r0.apk                 12-Jun-2025 14:06     64K
pulseview-0.4.2-r8.apk                             25-Oct-2024 20:12   1008K
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 20:12    3746
punch-0.1.1-r0.apk                                 09-Dec-2025 23:54     30K
punch-pyc-0.1.1-r0.apk                             09-Dec-2025 23:54     31K
pure-1.23.0-r0.apk                                 20-Oct-2025 13:50     18K
pure-doc-1.23.0-r0.apk                             20-Oct-2025 13:50    8103
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 20:12     88K
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 20:12    228K
pw-volume-0.5.0-r1.apk                             25-Oct-2024 20:12    329K
pwauth-2.3.11-r2.apk                               25-Oct-2024 20:12    4205
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 20:12    6964
pwndbg-2025.10.20-r0.apk                           31-Dec-2025 18:35    654K
pwndbg-doc-2025.10.20-r0.apk                       31-Dec-2025 18:35    3892
pwndbg-pyc-2025.10.20-r0.apk                       31-Dec-2025 18:35      1M
pwntools-4.15.0-r0.apk                             31-Dec-2025 18:36      3M
pwntools-doc-4.15.0-r0.apk                         31-Dec-2025 18:36     38K
pwntools-pyc-4.15.0-r0.apk                         31-Dec-2025 18:36      2M
pxalarm-3.0.0-r0.apk                               25-Oct-2024 20:12    2950
pxmenu-1.0.0-r1.apk                                25-Oct-2024 20:12    2948
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 20:12     17K
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12     21K
py3-aesedb-0.1.8-r0.apk                            03-Nov-2025 06:49     34K
py3-aesedb-examples-0.1.8-r0.apk                   03-Nov-2025 06:49    3503
py3-aesedb-pyc-0.1.8-r0.apk                        03-Nov-2025 06:49     75K
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 11:46     19K
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 11:46     21K
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 20:12     29K
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 20:12     60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 20:12    446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 20:12     51K
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 20:12     12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 20:12    9452
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28     10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28     19K
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 20:12     10K
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 20:12     15K
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 20:12     21K
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 20:12     19K
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 20:12     30K
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 20:12     16K
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 20:12     24K
py3-aiosmb-0.4.14-r0.apk                           03-Nov-2025 06:49    585K
py3-aiosmb-examples-0.4.14-r0.apk                  03-Nov-2025 06:49     38K
py3-aiosmb-pyc-0.4.14-r0.apk                       03-Nov-2025 06:49      1M
py3-aiowinreg-0.0.13-r0.apk                        03-Nov-2025 06:49     22K
py3-aiowinreg-pyc-0.0.13-r0.apk                    03-Nov-2025 06:49     44K
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 20:12    388K
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 20:12     18K
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 20:12    673K
py3-allfiles-1.0-r8.apk                            25-Oct-2024 20:12    3653
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 20:12    3345
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 20:12     21K
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 20:12     29K
py3-ansible-pylibssh-1.2.2-r0.apk                  18-Jul-2025 07:52    239K
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 20:12    275K
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 20:12    3409
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 20:12      8M
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 20:12    179K
py3-apio-0.9.5-r0.apk                              25-Oct-2024 20:12     72K
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 20:12     77K
py3-apsw-3.51.1.0-r0.apk                           30-Nov-2025 18:51    889K
py3-apsw-pyc-3.51.1.0-r0.apk                       30-Nov-2025 18:51    586K
py3-apt-3.1.0-r0.apk                               21-Dec-2025 03:05    177K
py3-apt-lang-3.1.0-r0.apk                          21-Dec-2025 03:05     80K
py3-apt-pyc-3.1.0-r0.apk                           21-Dec-2025 03:05    119K
py3-arcus-5.3.0-r5.apk                             12-Jun-2025 14:06     89K
py3-asif-0.3.2-r3.apk                              25-Oct-2024 20:12     13K
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 20:12     26K
py3-ask-0.0.8-r8.apk                               25-Oct-2024 20:12    5117
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 20:12    4582
py3-astral-3.2-r3.apk                              25-Oct-2024 20:12     37K
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 20:12     59K
py3-asyauth-0.0.23-r0.apk                          03-Nov-2025 06:49     84K
py3-asyauth-pyc-0.0.23-r0.apk                      03-Nov-2025 06:49    186K
py3-asysocks-0.2.18-r0.apk                         03-Nov-2025 06:49     88K
py3-asysocks-examples-0.2.18-r0.apk                03-Nov-2025 06:49     36K
py3-asysocks-pyc-0.2.18-r0.apk                     03-Nov-2025 06:49    320K
py3-avro-1.11.3-r1.apk                             25-Oct-2024 20:12     98K
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 20:12    191K
py3-b2sdk-2.10.2-r0.apk                            16-Dec-2025 18:41    227K
py3-b2sdk-pyc-2.10.2-r0.apk                        16-Dec-2025 18:41    429K
py3-banal-1.0.6-r4.apk                             25-Oct-2024 20:12    7043
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 20:12    7380
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 20:12     16K
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 20:12    4363
py3-beartype-0.22.9-r0.apk                         15-Dec-2025 18:33      1M
py3-beartype-pyc-0.22.9-r0.apk                     15-Dec-2025 18:33    744K
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 20:12     17K
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 20:12     10K
py3-bibtexparser-1.4.3-r0.apk                      19-Jan-2025 11:55     40K
py3-bibtexparser-pyc-1.4.3-r0.apk                  19-Jan-2025 11:55     49K
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 20:12     28K
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 20:12     29K
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51     14K
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51     23K
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 20:12     35K
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 20:12     13K
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 20:12    370K
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 20:12     11K
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 20:12     18K
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 12:38     68K
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 12:38    149K
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 12:38      3M
py3-bookkeeper-4.17.2-r0.apk                       13-Jul-2025 21:41     43K
py3-bookkeeper-pyc-4.17.2-r0.apk                   13-Jul-2025 21:41     67K
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 20:12    4989
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 20:12    5341
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 20:12    4372
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 20:12    3384
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 20:12    3204
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 20:12    4081
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 20:12    3826
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 20:12    3286
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 20:12    2663
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 20:12    6258
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 20:12    5303
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 20:12     10K
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 20:12    7983
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 20:12    4966
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 20:12    5770
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 20:12    4810
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 20:12    5363
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 20:12    4755
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 20:12    3212
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 20:12    4192
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 20:12    4355
py3-bson-0.5.10-r6.apk                             25-Oct-2024 20:12     12K
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 20:12     19K
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 20:12     11K
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 20:12     16K
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 20:12     32K
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 20:12     54K
py3-caldav-2.2.3-r0.apk                            07-Dec-2025 19:13    113K
py3-caldav-pyc-2.2.3-r0.apk                        07-Dec-2025 19:13    154K
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 20:12    286K
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 20:12    560K
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 20:12     57K
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 20:12    103K
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 20:12    124K
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 20:12    3124
py3-cdio-2.1.1-r6.apk                              20-Jan-2025 20:43    102K
py3-cdio-pyc-2.1.1-r6.apk                          20-Jan-2025 20:43     43K
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 20:12    8918
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 20:12    9307
py3-chameleon-4.6.0-r0.apk                         06-Jul-2025 09:17     97K
py3-chameleon-pyc-4.6.0-r0.apk                     06-Jul-2025 09:17    131K
py3-ciso8601-2.3.3-r0.apk                          10-Nov-2025 17:32     16K
py3-cjkwrap-2.2-r6.apk                             14-May-2025 19:18    4624
py3-cjkwrap-pyc-2.2-r6.apk                         14-May-2025 19:18    5375
py3-class-doc-1.25-r1.apk                          25-Oct-2024 20:12    6200
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 20:12    8921
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 20:12     11K
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 20:12     14K
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 20:12    5273
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 20:12    4635
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 20:12    6496
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 20:12    8045
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 20:12    8142
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 20:12     10K
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 20:12    139K
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 20:12    223K
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 20:12     19K
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 20:12     12K
py3-colander-2.0-r2.apk                            25-Oct-2024 20:12     62K
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 20:12     42K
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 20:12    7490
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 20:12     10K
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 20:12    8732
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 20:12    7662
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 20:12     23K
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 20:12    3114
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 20:12     40K
py3-confusable-homoglyphs-3.3.1-r0.apk             26-Sep-2025 11:17    137K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk         26-Sep-2025 11:17    9587
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 20:12     35K
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 20:12    3814
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 20:12     47K
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 20:12     22K
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 20:12     43K
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 20:12     12K
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 20:12    4818
py3-createrepo_c-1.1.4-r1.apk                      31-Dec-2025 18:36     42K
py3-createrepo_c-pyc-1.1.4-r1.apk                  31-Dec-2025 18:36     15K
py3-croniter-6.0.0-r0.apk                          25-Aug-2025 09:05     26K
py3-croniter-pyc-6.0.0-r0.apk                      25-Aug-2025 09:05     26K
py3-cryptg-0.5.0-r0.apk                            08-May-2025 12:15    195K
py3-cryptg-pyc-0.5.0-r0.apk                        08-May-2025 12:15    1952
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 20:12    155K
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 20:12    279K
py3-cstruct-6.1-r0.apk                             21-Aug-2025 23:07     23K
py3-cstruct-pyc-6.1-r0.apk                         21-Aug-2025 23:07     38K
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13    8871
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13     10K
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 20:12    680K
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 20:12    936K
py3-cython-test-exception-raiser-25.11.0-r0.apk    11-Nov-2025 19:23     19K
py3-cython-test-exception-raiser-pyc-25.11.0-r0..> 11-Nov-2025 19:23    1879
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 09:22     16K
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 20:12     27K
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 20:12     36K
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 20:12     11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 20:12     14K
py3-dateparser-1.2.2-r0.apk                        06-Jul-2025 19:46    216K
py3-dateparser-pyc-1.2.2-r0.apk                    06-Jul-2025 19:46    335K
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 20:12    4456
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 20:12    4325
py3-dbus-fast-3.1.2-r0.apk                         23-Nov-2025 21:15    821K
py3-dbus-fast-doc-3.1.2-r0.apk                     23-Nov-2025 21:15    6395
py3-dbus-fast-pyc-3.1.2-r0.apk                     23-Nov-2025 21:15    130K
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 20:12     13K
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 20:12    2308
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 20:12     20K
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 20:12     22K
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 20:12     37K
py3-discid-1.3.0-r0.apk                            28-Jul-2025 21:30     13K
py3-discid-pyc-1.3.0-r0.apk                        28-Jul-2025 21:30     13K
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 20:12     47K
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 20:12     49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:12     15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:12     15K
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 20:12    366K
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 20:12     33K
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 20:12    4121
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 20:12    5076
py3-dnslib-0.9.26-r0.apk                           01-Sep-2025 18:15     56K
py3-dnslib-pyc-0.9.26-r0.apk                       01-Sep-2025 18:15    109K
py3-dogpile.cache-1.3.3-r1.apk                     14-May-2025 18:17     53K
py3-dogpile.cache-pyc-1.3.3-r1.apk                 14-May-2025 18:17     90K
py3-doi-0.2-r0.apk                                 12-Apr-2025 12:09    6309
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 12:09    4857
py3-doit-0.36.0-r5.apk                             25-Oct-2024 20:12     77K
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 20:12    133K
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 20:12     25K
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 20:12     34K
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 20:12    8599
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 20:12    8888
py3-downloader-cli-0.3.4-r2.apk                    14-May-2025 18:17     11K
py3-downloader-cli-pyc-0.3.4-r2.apk                14-May-2025 18:17     14K
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 20:12     17K
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 20:12     18K
py3-drf-yasg-1.21.10-r0.apk                        15-Jul-2025 08:26      4M
py3-drf-yasg-pyc-1.21.10-r0.apk                    15-Jul-2025 08:26     98K
py3-dunamai-1.25.0-r0.apk                          25-Jul-2025 22:23     27K
py3-dunamai-pyc-1.25.0-r0.apk                      25-Jul-2025 22:23     44K
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 20:12    221K
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 20:12    9303
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 20:12    6411
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:24     13K
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 20:12     27K
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 20:12    3720
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 20:12    123K
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 20:12    190K
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 20:12     17K
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 20:12    2073
py3-empy-3.3.4-r7.apk                              25-Oct-2024 20:12     39K
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 20:12     58K
py3-engineio-4.12.3-r0.apk                         27-Nov-2025 09:35     49K
py3-engineio-doc-4.12.3-r0.apk                     27-Nov-2025 09:35     33K
py3-engineio-pyc-4.12.3-r0.apk                     27-Nov-2025 09:35    104K
py3-enlighten-1.14.1-r0.apk                        28-Aug-2025 10:50     37K
py3-enlighten-pyc-1.14.1-r0.apk                    28-Aug-2025 10:50     46K
py3-enzyme-0.5.2-r0.apk                            12-Jul-2025 15:29     23K
py3-enzyme-pyc-0.5.2-r0.apk                        12-Jul-2025 15:29     19K
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 20:12    7751
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 20:12    2582
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 20:12    8549
py3-euclid3-0.01-r8.apk                            25-Oct-2024 20:12     14K
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 20:12     33K
py3-evalidate-2.1.3-r0.apk                         16-Dec-2025 14:54     14K
py3-evalidate-pyc-2.1.3-r0.apk                     16-Dec-2025 14:54     12K
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:35    332K
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:35    336K
py3-evohome-client-0.3.9-r0.apk                    13-Jul-2025 21:33     19K
py3-evohome-client-pyc-0.3.9-r0.apk                13-Jul-2025 21:33     27K
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 20:12     38K
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 20:12    4300
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 20:12     40K
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 20:12     62K
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 20:12     18K
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 20:12     27K
py3-ffmpeg-0.2.0-r5.apk                            14-May-2025 18:17     24K
py3-ffmpeg-pyc-0.2.0-r5.apk                        14-May-2025 18:17     33K
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 20:12     14K
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 20:12     21K
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 20:12    5327
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 20:12    2651
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51     13K
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51    8065
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 20:12     18K
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 20:12    3418
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 20:12    6385
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 20:12    6110
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 20:12     15K
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 20:12     17K
py3-flake8-isort-7.0.0-r0.apk                      25-Oct-2025 20:13     18K
py3-flake8-isort-pyc-7.0.0-r0.apk                  25-Oct-2025 20:13    5488
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 20:12    7238
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 20:12    5832
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 20:12    6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 20:12    4517
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 20:12    5452
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 20:12    3761
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 20:12    3681
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 20:12    2282
py3-flask-accept-0.0.7-r0.apk                      12-Jul-2025 15:29    5710
py3-flask-accept-pyc-0.0.7-r0.apk                  12-Jul-2025 15:29    3767
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 20:12      7M
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 20:12    358K
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 20:12    5208
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 20:12    5069
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 20:12    5469
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 20:12    4173
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 20:12    7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 20:12    5943
py3-flask-bootstrap-3.3.7.1-r9.apk                 12-May-2025 21:41    449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk             12-May-2025 21:41     11K
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 20:12     13K
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 20:12     18K
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 20:12    4873
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 20:12    4182
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 20:12    4023
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 20:12    3385
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 20:12     86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 20:12    6405
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:58     11K
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:58     14K
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 20:12    3260
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 20:12    2900
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 20:12    3284
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 20:12    2511
py3-flask-httpauth-4.8.0-r3.apk                    29-Nov-2025 11:38    8026
py3-flask-httpauth-pyc-4.8.0-r3.apk                29-Nov-2025 11:38     11K
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 20:12    4196
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 20:12    3519
py3-flask-limiter-3.10.1-r0.apk                    19-Jan-2025 17:13     27K
py3-flask-limiter-pyc-3.10.1-r0.apk                19-Jan-2025 17:13     47K
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 20:12    5705
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 20:12    8113
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 20:12     16K
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 20:12     26K
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 20:12    5749
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 20:12    3952
py3-flask-migrate-4.1.0-r0.apk                     13-Jul-2025 21:53     13K
py3-flask-migrate-pyc-4.1.0-r0.apk                 13-Jul-2025 21:53     18K
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 20:12    8412
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 20:12     11K
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 20:12    172K
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 20:12     95K
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 06:32     18K
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 06:32    6302
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 20:12    115K
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 20:12     20K
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 20:12     40K
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 20:12     59K
py3-flask-security-5.6.2-r0.apk                    10-Nov-2025 03:17    297K
py3-flask-security-pyc-5.6.2-r0.apk                10-Nov-2025 03:17    227K
py3-flask-socketio-5.5.1-r0.apk                    06-Sep-2025 20:30     18K
py3-flask-socketio-doc-5.5.1-r0.apk                06-Sep-2025 20:30     23K
py3-flask-socketio-pyc-5.5.1-r0.apk                06-Sep-2025 20:30     26K
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 20:12    8083
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 20:12    7140
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 20:12    9177
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 20:12    9888
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 20:12     40K
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 20:12     89K
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 20:12    161K
py3-furl-2.1.4-r0.apk                              13-Jul-2025 21:52     28K
py3-furl-pyc-2.1.4-r0.apk                          13-Jul-2025 21:52     32K
py3-gdcm-3.2.2-r3.apk                              02-Dec-2025 05:43    695K
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 20:12     23K
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 20:12     20K
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 20:12     30K
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 20:12     12K
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 20:12     14K
py3-github3-4.0.1-r1.apk                           25-Oct-2024 20:12    128K
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 20:12    227K
py3-glob2-0.7-r6.apk                               25-Oct-2024 20:12     10K
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 20:12     13K
py3-gls-1.3.1-r1.apk                               25-Oct-2024 20:12     47K
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 20:12     84K
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 20:12    9450
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 20:12     11K
py3-googletrans-3.0.0-r5.apk                       25-Oct-2024 20:12     15K
py3-googletrans-pyc-3.0.0-r5.apk                   25-Oct-2024 20:12     17K
py3-grequests-0.7.0-r3.apk                         14-May-2025 18:17    6883
py3-grequests-pyc-0.7.0-r3.apk                     14-May-2025 18:17    5982
py3-gtkspellcheck-5.0.3-r1.apk                     09-Aug-2025 02:08     45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk                 09-Aug-2025 02:08     30K
py3-halo-0.0.31-r5.apk                             25-Oct-2024 20:12     11K
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 20:12     14K
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 20:12     25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 20:12     24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 20:12     13K
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 20:12     13K
py3-helper-2.5.0-r5.apk                            25-Oct-2024 20:12     19K
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 20:12     28K
py3-hfst-3.16.2-r0.apk                             29-Mar-2025 15:58    382K
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 20:12     70K
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 20:12    107K
py3-himitsu-0.0.9-r0.apk                           25-Aug-2025 10:58    5948
py3-himitsu-pyc-0.0.9-r0.apk                       25-Aug-2025 10:58    7721
py3-hishel-0.1.4-r0.apk                            15-Oct-2025 12:50     77K
py3-hishel-pyc-0.1.4-r0.apk                        15-Oct-2025 12:50    144K
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 20:12    167K
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 20:12     22K
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 20:12    4721
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 20:12    3333
py3-icalendar-searcher-1.0.3-r0.apk                07-Dec-2025 19:13     35K
py3-icalendar-searcher-pyc-1.0.3-r0.apk            07-Dec-2025 19:13     26K
py3-igraph-1.0.0-r0.apk                            28-Oct-2025 02:10    412K
py3-igraph-dev-1.0.0-r0.apk                        28-Oct-2025 02:10    2660
py3-igraph-pyc-1.0.0-r0.apk                        28-Oct-2025 02:10    374K
py3-imageio-2.37.0-r0.apk                          11-Jul-2025 22:13    285K
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 20:12     16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 20:12     20K
py3-imageio-pyc-2.37.0-r0.apk                      11-Jul-2025 22:13    504K
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 20:12    229K
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 20:12    243K
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 20:12     13K
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 20:12     20K
py3-infinity-1.5-r6.apk                            25-Oct-2024 20:12    4486
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 20:12    3830
py3-iniparse-0.5-r7.apk                            25-Oct-2024 20:12     19K
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 20:12     10K
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 20:12     25K
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 20:12    9672
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 20:12     15K
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37     12K
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37    4758
py3-irc-20.4.1-r1.apk                              06-Oct-2025 06:09     40K
py3-irc-pyc-20.4.1-r1.apk                          06-Oct-2025 06:09     70K
py3-isbnlib-3.10.14-r0.apk                         19-Jan-2025 11:55     43K
py3-isbnlib-pyc-3.10.14-r0.apk                     19-Jan-2025 11:55     67K
py3-iso639-lang-2.6.3-r0.apk                       04-Dec-2025 14:22    311K
py3-iso639-lang-pyc-2.6.3-r0.apk                   04-Dec-2025 14:22     12K
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42     11K
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42     13K
py3-itemloaders-1.3.2-r1.apk                       09-Aug-2025 02:08     12K
py3-itemloaders-pyc-1.3.2-r1.apk                   09-Aug-2025 02:08     17K
py3-iterable-io-1.0.1-r0.apk                       08-Jan-2026 13:53    6139
py3-iterable-io-pyc-1.0.1-r0.apk                   08-Jan-2026 13:53    5483
py3-itunespy-1.6-r5.apk                            14-May-2025 18:17    9974
py3-itunespy-pyc-1.6-r5.apk                        14-May-2025 18:17     15K
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57     12K
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57     13K
py3-jaraco.logging-3.4.0-r0.apk                    17-Jun-2025 22:17    5445
py3-jaraco.logging-pyc-3.4.0-r0.apk                17-Jun-2025 22:17    6258
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 20:12    7804
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 20:12    9749
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:56    6874
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:56    8272
py3-jaraco.vcs-2.4.1-r0.apk                        09-Mar-2025 08:52     10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    09-Mar-2025 08:52     16K
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 20:12    6048
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 20:12    6249
py3-joserfc-1.5.0-r0.apk                           04-Dec-2025 14:22     54K
py3-joserfc-pyc-1.5.0-r0.apk                       04-Dec-2025 14:22    110K
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 20:12    125K
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 20:12    245K
py3-keepalive-0.5-r5.apk                           25-Oct-2024 20:12    9186
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 20:12    2038
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 20:12     13K
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 20:12     17K
py3-kikit-1.7.2-r0.apk                             08-May-2025 21:35    239K
py3-kikit-pyc-1.7.2-r0.apk                         08-May-2025 21:35    277K
py3-knowit-0.5.11-r0.apk                           23-Nov-2025 23:11     32K
py3-knowit-pyc-0.5.11-r0.apk                       23-Nov-2025 23:11     62K
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 20:12    8608
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 20:12    9752
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 20:12    174K
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 20:12    110K
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08      5M
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08      3M
py3-latex2mathml-3.78.1-r1.apk                     29-Sep-2025 18:48     72K
py3-latex2mathml-pyc-3.78.1-r1.apk                 29-Sep-2025 18:48     35K
py3-lib_users-0.15-r4.apk                          25-Oct-2024 20:12     16K
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 20:12    9739
py3-libacl-0.7.3-r0.apk                            16-Oct-2025 22:05     26K
py3-libguestfs-1.56.1-r0.apk                       22-Jul-2025 22:05    183K
py3-libiio-0.25-r2.apk                             25-Oct-2024 20:12     13K
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:39     30K
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:39     50K
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 20:12     28K
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 20:12     33K
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 20:12     20K
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 20:12     30K
py3-libpyshell-0.4.1-r1.apk                        10-Jun-2025 09:39     12K
py3-libpyshell-pyc-0.4.1-r1.apk                    10-Jun-2025 09:39     18K
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 20:12     35K
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 20:12     25K
py3-limits-3.14.1-r0.apk                           25-Dec-2024 16:22     33K
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 16:22     71K
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 20:12     21K
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 20:12     23K
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 20:12    1661
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 20:12      1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:12      6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:12    934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 20:12    500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 20:12     10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 20:12    112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:12    208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:12     19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:12     45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:12    221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:12    7737
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:12    230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:12     57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 20:12    675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:12    713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:12     58K
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:12      5M
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 20:12    112K
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22    766K
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22     30K
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 20:12    4307
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 20:12    3143
py3-logtop-0.7-r1.apk                              09-Aug-2025 02:08     23K
py3-logtop-pyc-0.7-r1.apk                          09-Aug-2025 02:08    4177
py3-lsp-black-2.0.0-r1.apk                         25-Oct-2024 20:12    7682
py3-lsp-black-pyc-2.0.0-r1.apk                     25-Oct-2024 20:12    6525
py3-lsp-mypy-0.7.0-r1.apk                          30-Aug-2025 01:39     13K
py3-lsp-mypy-pyc-0.7.0-r1.apk                      30-Aug-2025 01:39     13K
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 20:12     69K
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 20:12    107K
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 20:12    4075
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 20:12    2693
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 20:12     32K
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 20:12     51K
py3-lxmf-0.8.0-r0.apk                              23-Sep-2025 21:45     51K
py3-lxmf-pyc-0.8.0-r0.apk                          23-Sep-2025 21:45    109K
py3-ly-0.9.9-r0.apk                                12-Jul-2025 21:56    187K
py3-ly-doc-0.9.9-r0.apk                            12-Jul-2025 21:56    8258
py3-ly-pyc-0.9.9-r0.apk                            12-Jul-2025 21:56    352K
py3-lzo-1.16-r1.apk                                25-Oct-2024 20:12     17K
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 20:12    2016
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 20:12    195K
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 20:12    123K
py3-maidenhead-1.8.0-r0.apk                        10-Aug-2025 21:04    8134
py3-maidenhead-doc-1.8.0-r0.apk                    10-Aug-2025 21:04    3772
py3-maidenhead-pyc-1.8.0-r0.apk                    10-Aug-2025 21:04    8144
py3-mando-0.8.2-r0.apk                             18-Dec-2025 11:06     22K
py3-mando-doc-0.8.2-r0.apk                         18-Dec-2025 11:06    4268
py3-mando-pyc-0.8.2-r0.apk                         18-Dec-2025 11:06     35K
py3-manuel-1.13.0-r1.apk                           14-Oct-2025 15:04     39K
py3-manuel-pyc-1.13.0-r1.apk                       14-Oct-2025 15:04     26K
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 20:12     63K
py3-marisa-trie-1.3.1-r0.apk                       29-Aug-2025 09:32    135K
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 20:12     47K
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 20:12     75K
py3-markdownify-1.2.2-r0.apk                       27-Nov-2025 16:00     16K
py3-markdownify-pyc-1.2.2-r0.apk                   27-Nov-2025 16:00     18K
py3-marshmallow-3.26.1-r0.apk                      21-Feb-2025 22:40     48K
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 20:12    5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 20:12    4557
py3-marshmallow-pyc-3.26.1-r0.apk                  21-Feb-2025 22:40     85K
py3-mbedtls-2.10.1-r3.apk                          29-May-2025 12:07    944K
py3-mbedtls-pyc-2.10.1-r3.apk                      29-May-2025 12:07     27K
py3-meshtastic-2.7.2-r0.apk                        16-Sep-2025 13:46    540K
py3-migen-0.9.2-r2.apk                             25-Oct-2024 20:12    143K
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 20:12    296K
py3-milc-1.9.1-r0.apk                              25-Jan-2025 16:04     26K
py3-milc-pyc-1.9.1-r0.apk                          25-Jan-2025 16:04     42K
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 18:50     10K
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 18:50     23K
py3-minidump-0.0.24-r1.apk                         29-May-2025 12:07     64K
py3-minidump-pyc-0.0.24-r1.apk                     29-May-2025 12:07    129K
py3-minikerberos-0.4.9-r0.apk                      03-Nov-2025 06:49    123K
py3-minikerberos-examples-0.4.9-r0.apk             03-Nov-2025 06:49     17K
py3-minikerberos-pyc-0.4.9-r0.apk                  03-Nov-2025 06:49    287K
py3-minio-7.2.18-r0.apk                            10-Nov-2025 03:17     75K
py3-minio-pyc-7.2.18-r0.apk                        10-Nov-2025 03:17    155K
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 11:46     45K
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 11:46     93K
py3-mistune1-0.8.4-r6.apk                          09-Nov-2025 18:52     14K
py3-mistune1-pyc-0.8.4-r6.apk                      09-Nov-2025 18:52     21K
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 20:12     95K
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 20:12    2458
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 20:12    9920
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 20:12     25K
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 20:12     48K
py3-modern_colorthief-0.1.7-r0.apk                 16-Jun-2025 18:11    808K
py3-modern_colorthief-pyc-0.1.7-r0.apk             16-Jun-2025 18:11    2665
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 20:12     25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 20:12     37K
py3-mopidy-local-3.3.0-r0.apk                      03-Jan-2025 14:34     28K
py3-mopidy-local-pyc-3.3.0-r0.apk                  03-Jan-2025 14:34     34K
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 20:12     46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 20:12     74K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk             09-Jan-2026 18:55     24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk         09-Jan-2026 18:55     39K
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 20:12     25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 20:12     36K
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 20:12    7614
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 20:12    8419
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 20:12     94K
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 20:12    156K
py3-msldap-0.5.15-r2.apk                           17-Oct-2025 15:06    127K
py3-msldap-examples-0.5.15-r2.apk                  17-Oct-2025 15:06     18K
py3-msldap-pyc-0.5.15-r2.apk                       17-Oct-2025 15:06    330K
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:12     51K
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 20:12    9638
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 20:12     10K
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 20:12     68K
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 20:12    107K
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 20:12    195K
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 20:12    9432
py3-netmiko-4.6.0-r0.apk                           13-Aug-2025 15:31    192K
py3-netmiko-pyc-4.6.0-r0.apk                       13-Aug-2025 15:31    372K
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 20:12     20K
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 20:12     25K
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 20:12    9599
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 20:12     10K
py3-notifymail-1.1-r8.apk                          25-Oct-2024 20:12    7757
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 20:12    5879
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 20:12     21K
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 20:12     32K
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 20:12    7573
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 20:12    8833
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04     21K
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04     28K
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 20:12      5M
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 20:12     78K
py3-okonomiyaki-2.0.0-r1.apk                       14-Oct-2025 18:39      8M
py3-okonomiyaki-pyc-2.0.0-r1.apk                   14-Oct-2025 18:39    243K
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 20:12    7835
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 20:12     12K
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56    154K
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 20:12    8447
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 20:12     10K
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 20:12    498K
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 20:12     42K
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 20:12     12K
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 20:12     17K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 20:12     10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 20:12    8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 20:12     46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 20:12     91K
py3-ovos-bus-client-1.3.4-r0.apk                   25-Apr-2025 06:18     50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk               25-Apr-2025 06:18     89K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 20:12    103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 20:12    164K
py3-ovos-config-2.1.1-r0.apk                       15-Jul-2025 18:37     47K
py3-ovos-config-pyc-2.1.1-r0.apk                   15-Jul-2025 18:37     35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 20:12    358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 20:12    437K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk       15-Jul-2025 20:03    9082
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk   15-Jul-2025 20:03    4880
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 20:12    550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 20:12     86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 20:12     47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 20:12    104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 20:12    8268
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 20:12    3482
py3-ovos-ocp-news-plugin-0.1.1-r0.apk              15-Jul-2025 20:03     11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk          15-Jul-2025 20:03    9094
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk               15-Jul-2025 20:03    8362
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk           15-Jul-2025 20:03    3984
py3-ovos-phal-plugin-connectivity-events-0.1.2-..> 15-Jul-2025 20:03    9016
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 15-Jul-2025 20:03    5193
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 20:12    4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 20:12    4478
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:32     95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:32     11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:32     12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:32    9891
py3-ovos-phal-plugin-system-1.3.3-r0.apk           15-Jul-2025 17:07     11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk       15-Jul-2025 17:07     10K
py3-ovos-plugin-manager-1.0.3-r0.apk               15-Jul-2025 19:34     86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk           15-Jul-2025 19:34    167K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 20:12     10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:12    6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 20:12    8533
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 20:12    4207
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 20:12    9739
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:12    5575
py3-ovos-utils-0.8.1-r0.apk                        15-Jul-2025 20:03     73K
py3-ovos-utils-pyc-0.8.1-r0.apk                    15-Jul-2025 20:03    130K
py3-ovos-workshop-7.0.6-r0.apk                     15-Jul-2025 19:29     92K
py3-ovos-workshop-pyc-7.0.6-r0.apk                 15-Jul-2025 19:29    165K
py3-owslib-0.35.0-r0.apk                           02-Nov-2025 19:15    195K
py3-owslib-pyc-0.35.0-r0.apk                       02-Nov-2025 19:15    425K
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 20:12    386K
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 20:12    4132
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 20:12     11K
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 20:12     12K
py3-pam-2.0.2-r2.apk                               25-Oct-2024 20:12     11K
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 20:12     13K
py3-pathvalidate-3.3.1-r0.apk                      12-Jul-2025 15:21     19K
py3-pathvalidate-pyc-3.3.1-r0.apk                  12-Jul-2025 15:21     34K
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 20:12    6447
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 20:12    7248
py3-pcbnewtransition-0.5.2-r0.apk                  12-Jul-2025 15:20    8070
py3-pcbnewtransition-pyc-0.5.2-r0.apk              12-Jul-2025 15:20     10K
py3-pdal-3.4.5-r1.apk                              21-Jun-2025 21:50    187K
py3-pdal-pyc-3.4.5-r1.apk                          21-Jun-2025 21:50     13K
py3-pdoc-15.0.4-r0.apk                             12-Oct-2025 21:19    133K
py3-pdoc-pyc-15.0.4-r0.apk                         12-Oct-2025 21:19    169K
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 20:12    234K
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 20:12    147K
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 20:12     10K
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 20:12     13K
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 20:12    9062
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 20:12     11K
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 20:12     13K
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 20:12    2274
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 20:12     17K
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 20:12     20K
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 20:12     34K
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 20:12    7681
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 20:12    5485
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 20:12     52K
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 20:12     36K
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 20:12    7155
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 20:12    4766
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 20:12    7028
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 20:12    8751
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10     20M
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:38     19K
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:38     33K
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 20:12     15K
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 20:12     25K
py3-poetry-dynamic-versioning-1.9.1-r0.apk         25-Jul-2025 23:41     21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk     25-Jul-2025 23:41     26K
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:46    119K
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 21:09     16K
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 21:09     17K
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 20:12     14K
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 20:12     16K
py3-prctl-1.8.1-r0.apk                             20-Oct-2025 13:50     13K
py3-prctl-pyc-1.8.1-r0.apk                         20-Oct-2025 13:50    7408
py3-prefixed-0.9.0-r0.apk                          28-Aug-2025 10:50     14K
py3-prefixed-pyc-0.9.0-r0.apk                      28-Aug-2025 10:50    8813
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 20:12    9074
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 20:12    2685
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 20:12    5086
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 20:12    7557
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 20:12     10K
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18    9565
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18     12K
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36     17K
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36     28K
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 20:12     21K
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 20:12     38K
py3-py-radix-0.10.0-r11.apk                        31-Dec-2025 18:36     22K
py3-py-radix-pyc-0.10.0-r11.apk                    31-Dec-2025 18:36     11K
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 20:12     53K
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 20:12     92K
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 20:12     35K
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 20:12     45K
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 20:12     15K
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 20:12     17K
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 20:12    360K
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 20:12    5589
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 20:12    3817
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 20:12     46K
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 20:12     11K
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 20:12    3731
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 20:12     13K
py3-pygelbooru-1.0.0-r0.apk                        30-Jul-2025 04:28     20K
py3-pygelbooru-pyc-1.0.0-r0.apk                    30-Jul-2025 04:28     11K
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 20:12     13K
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 20:12     13K
py3-pyglet-2.1.5-r1.apk                            27-Aug-2025 14:45    890K
py3-pyglet-pyc-2.1.5-r1.apk                        27-Aug-2025 14:45      2M
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:41      1M
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 20:12     37K
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 20:12    5189
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 20:12     15K
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 20:12     10K
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 20:12      2M
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 20:12    502K
py3-pyinstrument-5.1.2-r0.apk                      05-Jan-2026 04:11    111K
py3-pyinstrument-pyc-5.1.2-r0.apk                  05-Jan-2026 04:11    103K
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 20:12     20K
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 20:12    9634
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 20:12     17K
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 20:12    9162
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 20:12     18K
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 20:12     35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 20:12     52K
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 20:12     32K
py3-pymata-2.20-r4.apk                             25-Oct-2024 20:12     22K
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 20:12     29K
py3-pymata4-1.15-r4.apk                            25-Oct-2024 20:12     23K
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 20:12     31K
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 20:12     17K
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 20:12     32K
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 20:12    9379
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 20:12     10K
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 09:34     12K
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 09:34    6697
py3-pymupdf-1.26.4-r2.apk                          19-Dec-2025 20:00    323K
py3-pymupdf-pyc-1.26.4-r2.apk                      19-Dec-2025 20:00    500K
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:49    283K
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 20:12     77K
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 20:12     42K
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 20:12     52K
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 20:12    2190
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 20:12     90K
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 20:12     37K
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 20:12    4406
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 20:12     47K
py3-pyroma-4.2-r0.apk                              25-Oct-2024 20:12     22K
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 20:12     26K
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 20:12     14K
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 20:12     14K
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 20:12     44K
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 20:12     78K
py3-pysonic-1.0.3-r0.apk                           16-Apr-2025 22:35     35K
py3-pysonic-pyc-1.0.3-r0.apk                       16-Apr-2025 22:35     32K
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 20:12     56K
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 20:12     63K
py3-pysrt-1.1.2-r5.apk                             14-May-2025 18:17     25K
py3-pysrt-pyc-1.1.2-r5.apk                         14-May-2025 18:17     23K
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 20:12     68K
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 20:12     97K
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09     36K
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09     68K
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 19:50     41K
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 19:50    2940
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 20:12    7139
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 20:12    2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 20:12    6129
py3-pyte-0.8.2-r3.apk                              12-May-2025 21:41     30K
py3-pyte-pyc-0.8.2-r3.apk                          12-May-2025 21:41     39K
py3-pytest-datadir-1.8.0-r0.apk                    05-Aug-2025 12:53    7254
py3-pytest-datadir-pyc-1.8.0-r0.apk                05-Aug-2025 12:53    5524
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 20:12    6035
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 20:12    7121
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 20:12    4641
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 20:12    2867
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 20:12     22K
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 20:12     22K
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 20:12     10K
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 20:12    8085
py3-pytest-regtest-2.3.5-r0.apk                    12-Oct-2025 13:32     16K
py3-pytest-regtest-pyc-2.3.5-r0.apk                12-Oct-2025 13:32     30K
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 20:12     20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 20:12     25K
py3-pytest-textual-snapshot-1.1.0-r0.apk           08-Aug-2025 13:36    9400
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk       08-Aug-2025 13:36     11K
py3-python-archive-0.2-r7.apk                      25-Oct-2024 20:12    7575
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 20:12    9574
py3-python-iptables-1.2.0-r1.apk                   12-Dec-2025 15:43     38K
py3-python-iptables-pyc-1.2.0-r1.apk               12-Dec-2025 15:43     68K
py3-python-jwt-4.1.0-r2.apk                        15-May-2025 21:24    8259
py3-python-jwt-pyc-4.1.0-r2.apk                    15-May-2025 21:24    6625
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 20:12    8809
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 20:12    2314
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 20:12    8615
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 20:12    806K
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 20:12    293K
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46     23K
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46     40K
py3-pyzor-1.1.2-r0.apk                             14-Aug-2025 06:26     40K
py3-pyzor-pyc-1.1.2-r0.apk                         14-Aug-2025 06:26     54K
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 20:12     37K
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 20:12     98K
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 20:12     56K
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 20:12    180K
py3-qt.py-1.3.10-r1.apk                            25-Oct-2024 20:12     33K
py3-qt.py-pyc-1.3.10-r1.apk                        25-Oct-2024 20:12     25K
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 20:12    9026
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 20:12    8002
py3-queuelib-1.8.0-r0.apk                          01-Sep-2025 18:13     12K
py3-queuelib-pyc-1.8.0-r0.apk                      01-Sep-2025 18:13     24K
py3-r2pipe-5.9.0-r0.apk                            31-Dec-2025 18:36     12K
py3-r2pipe-doc-5.9.0-r0.apk                        31-Dec-2025 18:36     22K
py3-r2pipe-pyc-5.9.0-r0.apk                        31-Dec-2025 18:36     21K
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 20:12     11K
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 20:12     15K
py3-radon-6.0.1-r2.apk                             25-Oct-2024 20:12     32K
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 20:12    5265
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 20:12     50K
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 20:12     12K
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 20:12     18K
py3-recurring-ical-events-3.8.0-r0.apk             15-Jun-2025 04:25     39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk         15-Jun-2025 04:25     50K
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 20:12     37K
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 20:12     54K
py3-remind-0.19.2-r0.apk                           23-Apr-2025 21:27     24K
py3-remind-pyc-0.19.2-r0.apk                       23-Apr-2025 21:27     23K
py3-requests-cache-1.2.1-r1.apk                    18-Nov-2024 18:00     50K
py3-requests-cache-pyc-1.2.1-r1.apk                18-Nov-2024 18:00     94K
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24     12K
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24     11K
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 20:12    5645
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 20:12    6757
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 20:12     13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 20:12     12K
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 20:12     31K
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 20:12     40K
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 20:12     13K
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 20:12     11K
py3-rns-1.0.0-r0.apk                               23-Sep-2025 21:45    344K
py3-rns-pyc-1.0.0-r0.apk                           23-Sep-2025 21:45    705K
py3-rofi-1.0.1-r1.apk                              09-Aug-2025 02:08     12K
py3-rofi-pyc-1.0.1-r1.apk                          09-Aug-2025 02:08     12K
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 20:12     47K
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 20:12     91K
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 20:12     29K
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 20:12     54K
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 20:12     37K
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 20:12     16K
py3-rst-0.1-r9.apk                                 25-Oct-2024 20:12    5696
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 20:12    6256
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 20:12    6238
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 20:12    6808
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 20:12     12K
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 20:12    2293
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 20:12     24K
py3-rtree-1.4.1-r0.apk                             14-Aug-2025 10:10     26K
py3-rtree-pyc-1.4.1-r0.apk                         14-Aug-2025 10:10     48K
py3-schema-0.7.7-r0.apk                            07-Jul-2025 16:11     19K
py3-schema-pyc-0.7.7-r0.apk                        07-Jul-2025 16:11     21K
py3-scour-0.38.2-r1.apk                            25-Oct-2024 20:12     56K
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 20:12     74K
py3-scrapy-2.13.4-r0.apk                           05-Jan-2026 22:27    252K
py3-scrapy-pyc-2.13.4-r0.apk                       05-Jan-2026 22:27    521K
py3-scs-3.2.3-r4.apk                               25-Oct-2024 20:12    139K
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 20:12    5043
py3-senf-1.5.0-r0.apk                              27-Jun-2025 02:59     20K
py3-senf-pyc-1.5.0-r0.apk                          27-Jun-2025 02:59     33K
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 20:12      2M
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12     42K
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 20:12    5555
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 20:12    6148
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:14     38K
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:14     55K
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 20:12     44K
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 20:12    7372
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 20:12     79K
py3-simber-0.2.6-r5.apk                            14-May-2025 18:17     12K
py3-simber-pyc-0.2.6-r5.apk                        14-May-2025 18:17     16K
py3-simple-websocket-1.1.0-r0.apk                  06-Sep-2025 20:30     11K
py3-simple-websocket-doc-1.1.0-r0.apk              06-Sep-2025 20:30    2344
py3-simple-websocket-pyc-1.1.0-r0.apk              06-Sep-2025 20:30     23K
py3-simpleeval-1.0.3-r0.apk                        24-Jun-2025 19:38     16K
py3-simpleeval-pyc-1.0.3-r0.apk                    24-Jun-2025 19:38     16K
py3-simplematch-1.4-r1.apk                         25-Oct-2024 20:12    8190
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 20:12    5938
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 20:12    214K
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 20:12    157K
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 20:12    8053
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 20:12     12K
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 20:12    7682
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 20:12    8276
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 06:04    222K
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 06:04    2016
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 20:12    383K
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 20:12    5949
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 20:12    729K
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 20:12     15K
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 20:12     26K
py3-soappy-0.52.30-r0.apk                          02-Dec-2024 22:53     47K
py3-soappy-pyc-0.52.30-r0.apk                      02-Dec-2024 22:53     95K
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 20:12     17K
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 20:12     27K
py3-socketio-5.13.0-r0.apk                         06-Sep-2025 20:30     62K
py3-socketio-doc-5.13.0-r0.apk                     06-Sep-2025 20:30     36K
py3-socketio-pyc-5.13.0-r0.apk                     06-Sep-2025 20:30    127K
py3-solidpython-1.1.2-r2.apk                       25-Oct-2024 20:12     79K
py3-solidpython-pyc-1.1.2-r2.apk                   25-Oct-2024 20:12    120K
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 20:12     11K
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 20:12     14K
py3-spake2-0.9-r0.apk                              25-Oct-2024 20:12     30K
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 20:12     44K
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 20:12     14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 20:12     22K
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 20:12     11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 20:12    2032
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 20:12      1M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 20:12    2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 20:12     65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 20:12    1881
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 20:12     81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 20:12     43K
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 20:12     30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 20:12    2530
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 20:12      2M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 20:12    5641
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 20:12    9028
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 20:12    2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 20:12    7815
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 20:12    9501
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 20:12    9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 20:12     12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 20:12    5812
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 20:12    4186
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 20:12    7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 20:12    9372
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 20:12    5867
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 20:12    4353
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 20:12     18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 20:12     34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 20:12    9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 20:12    3565
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 20:12    4005
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 20:12    3412
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 20:12     17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 20:12    6782
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 20:12    8052
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 20:12    7803
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 20:12     11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 20:12     15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 20:12     18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 20:12    4439
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 20:12     34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:12     21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:12     44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 20:12     11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 20:12     15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 20:12     11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 20:12     12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 20:12    8111
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 20:12     11K
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 20:12    4237
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 20:12    3223
py3-sphinxcontrib-mermaid-1.0.0-r0.apk             08-May-2025 12:15     10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk         08-May-2025 12:15     16K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 20:12    8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 20:12     11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk          12-Jul-2025 16:03     11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk      12-Jul-2025 16:03     17K
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 20:12     16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 20:12     24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 20:12     11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 20:12     20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 20:12    7832
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 20:12    9520
py3-sphinxcontrib-slide-1.0.0-r4.apk               12-May-2025 21:41    5001
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk           12-May-2025 21:41    5696
py3-sphinxcontrib-spelling-8.0.1-r0.apk            13-Jul-2025 21:34     13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk        13-Jul-2025 21:34     19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 20:12    7519
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 20:12    5048
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 20:12    6256
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 20:12    5788
py3-spidev-3.6-r1.apk                              25-Oct-2024 20:12     14K
py3-spin-0.8-r0.apk                                25-Oct-2024 20:12     19K
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 20:12     24K
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 20:12    6238
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 20:12    6399
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52    118K
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52    219K
py3-spotipy-2.24.0-r3.apk                          14-Oct-2025 15:05     30K
py3-spotipy-pyc-2.24.0-r3.apk                      14-Oct-2025 15:05     49K
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03     26K
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03     41K
py3-sssd-2.11.1-r2.apk                             20-Nov-2025 07:32     59K
py3-sssd-pyc-2.11.1-r2.apk                         20-Nov-2025 07:32     49K
py3-sstash-0.17-r9.apk                             25-Oct-2024 20:12    7912
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 20:12     10K
py3-svgpath-7.0-r0.apk                             08-Jul-2025 06:16     19K
py3-svgpath-pyc-7.0-r0.apk                         08-Jul-2025 06:16     24K
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 20:12      2M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 20:12    2160
py3-synapse-auto-accept-invite-1.2.0-r1.apk        09-Aug-2025 02:08    9996
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk    09-Aug-2025 02:08    5758
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 20:12    7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 20:12    6791
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 20:12     23K
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 20:12     52K
py3-telegram-bot-22.5-r0.apk                       19-Nov-2025 18:30    497K
py3-telegram-bot-pyc-22.5-r0.apk                   19-Nov-2025 18:30    802K
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 20:12    9413
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 20:12     13K
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 20:12     21K
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 20:12     31K
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 20:12     15K
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 20:12     21K
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 20:12     17K
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 20:12     16K
py3-textual-5.3.0-r0.apk                           27-Oct-2025 08:30    612K
py3-textual-pyc-5.3.0-r0.apk                       27-Oct-2025 08:30      1M
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 20:12     10K
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 20:12    9163
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 20:12    6098
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 20:12    6527
py3-tidalapi-0.8.4-r0.apk                          12-Jul-2025 16:03     50K
py3-tidalapi-pyc-0.8.4-r0.apk                      12-Jul-2025 16:03     91K
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 20:12     24K
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 20:12    2915
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 20:12     28K
py3-tls_parser-2.0.2-r0.apk                        14-Jul-2025 03:36     10K
py3-tls_parser-pyc-2.0.2-r0.apk                    14-Jul-2025 03:36     17K
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 20:12    179K
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 20:12    275K
py3-tokenizers-0.21.2-r0.apk                       01-Jul-2025 19:19      2M
py3-tokenizers-pyc-0.21.2-r0.apk                   01-Jul-2025 19:19     29K
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 20:12    273K
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 20:12    236K
py3-trakit-0.2.5-r0.apk                            23-Nov-2025 23:11     19K
py3-trakit-pyc-0.2.5-r0.apk                        23-Nov-2025 23:11     17K
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 20:12     98K
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 20:12    129K
py3-translationstring-1.4-r4.apk                   25-Oct-2024 20:12    9373
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 20:12    8979
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 20:12     34K
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 20:12     55K
py3-truststore-0.10.4-r0.apk                       08-Jan-2026 23:26     18K
py3-truststore-pyc-0.10.4-r0.apk                   08-Jan-2026 23:26     26K
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 20:12     24K
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 20:12     39K
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 20:12     10K
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 20:12     14K
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 20:12     11K
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 20:12     16K
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 20:12    5840
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 20:12    2247
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 20:12    6934
py3-uc-micro-py-1.0.3-r0.apk                       27-Oct-2025 08:30    6700
py3-uc-micro-py-pyc-1.0.3-r0.apk                   27-Oct-2025 08:30    4706
py3-unearth-0.18.0-r0.apk                          14-Oct-2025 14:54     41K
py3-unearth-pyc-0.18.0-r0.apk                      14-Oct-2025 14:54     82K
py3-unicorn-2.1.4-r0.apk                           14-Oct-2025 14:04     51K
py3-unicorn-pyc-2.1.4-r0.apk                       14-Oct-2025 14:04     92K
py3-unicrypto-0.0.12-r0.apk                        03-Nov-2025 06:49     59K
py3-unicrypto-pyc-0.0.12-r0.apk                    03-Nov-2025 06:49     92K
py3-unidns-0.0.4-r0.apk                            03-Nov-2025 06:49     14K
py3-unidns-examples-0.0.4-r0.apk                   03-Nov-2025 06:49    2665
py3-unidns-pyc-0.0.4-r0.apk                        03-Nov-2025 06:49     25K
py3-unoconv-0.9.0-r4.apk                           12-May-2025 21:41     26K
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 20:12     10K
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 20:12    8906
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 20:12     15K
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 20:12     25K
py3-us-3.2.0-r0.apk                                25-Oct-2024 20:12     14K
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 20:12     15K
py3-utc-0.0.3-r9.apk                               25-Oct-2024 20:12    3554
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 20:12    2832
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 20:12     19K
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 20:12    8830
py3-vdf-3.4-r2.apk                                 16-Oct-2025 22:05     11K
py3-vdf-pyc-3.4-r2.apk                             16-Oct-2025 22:05     17K
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14     14K
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14     12K
py3-virtualenvwrapper-6.1.1-r1.apk                 18-Dec-2025 11:06     22K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk             18-Dec-2025 11:06     12K
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 20:12    4733
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 20:12    2686
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 20:12     41K
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 20:12     80K
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 20:12     18K
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 20:12     20K
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 20:12    7750
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 20:12     13K
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:49     22K
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:49     12K
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 20:12     13K
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 20:12     14K
py3-wikipedia-1.4.0-r0.apk                         14-Sep-2025 02:03     12K
py3-wikipedia-doc-1.4.0-r0.apk                     14-Sep-2025 02:03    4244
py3-wikipedia-pyc-1.4.0-r0.apk                     14-Sep-2025 02:03     16K
py3-winacl-0.1.9-r1.apk                            29-May-2025 12:07     83K
py3-winacl-pyc-0.1.9-r1.apk                        29-May-2025 12:07    131K
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 20:12     17K
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 20:12     28K
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 20:12     53K
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 20:12    111K
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 20:12     13K
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 20:12     25K
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13     12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13    7264
py3-xapp-3.0.1-r0.apk                              08-Jan-2026 21:02     72K
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:32    312K
py3-xsdata-25.7-r0.apk                             07-Jul-2025 20:47    190K
py3-xsdata-pyc-25.7-r0.apk                         07-Jul-2025 20:47    393K
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 20:12     32K
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 20:12     47K
py3-yara-4.5.4-r0.apk                              13-Aug-2025 15:32     19K
py3-yosys-0.57-r0.apk                              24-Oct-2025 21:50    557K
py3-youtube-search-1.6.6-r5.apk                    14-May-2025 18:17     78K
py3-youtube-search-pyc-1.6.6-r5.apk                14-May-2025 18:17     95K
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:41     52K
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:41     68K
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 20:12     45K
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 20:12     29K
py3-zope-configuration-7.0-r0.apk                  02-Dec-2025 00:39     39K
py3-zope-configuration-pyc-7.0-r0.apk              02-Dec-2025 00:39     49K
py3-zope-i18nmessageid-8.2-r0.apk                  25-Dec-2025 12:29     14K
py3-zope-i18nmessageid-pyc-8.2-r0.apk              25-Dec-2025 12:29    8602
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 20:12     45K
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 20:12     61K
pyinfra-3.3.1-r0.apk                               16-Jul-2025 00:27    192K
pyinfra-pyc-3.3.1-r0.apk                           16-Jul-2025 00:27    361K
pympress-1.8.6-r0.apk                              10-Nov-2025 05:00    180K
pympress-doc-1.8.6-r0.apk                          10-Nov-2025 05:00     75K
pympress-lang-1.8.6-r0.apk                         10-Nov-2025 05:00     59K
pympress-pyc-1.8.6-r0.apk                          10-Nov-2025 05:00    182K
pyonji-0.1.0-r11.apk                               04-Dec-2025 14:22      3M
pypy-7.3.19-r0.apk                                 01-Nov-2025 15:23     15M
pypy-bootstrap-7.3.19-r0.apk                       01-Nov-2025 15:23     16M
pypy-dev-7.3.19-r0.apk                             01-Nov-2025 15:23     75K
pypy-tkinter-7.3.19-r0.apk                         01-Nov-2025 15:23    447K
pypy3-7.3.19-r0.apk                                01-Nov-2025 15:23     15M
pypy3-dev-7.3.19-r0.apk                            01-Nov-2025 15:23    579K
pypy3-pyc-7.3.19-r0.apk                            01-Nov-2025 15:23      6M
pypy3-tests-7.3.19-r0.apk                          01-Nov-2025 15:23     13M
pypy3-tkinter-7.3.19-r0.apk                        01-Nov-2025 15:23    300K
pypykatz-0.6.13-r1.apk                             02-Jan-2026 20:09    316K
pypykatz-pyc-0.6.13-r1.apk                         02-Jan-2026 20:09    724K
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 20:12    871K
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 20:12    113K
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 20:12    810K
q6voiced-0.2.1-r0.apk                              31-Dec-2025 18:36    4919
q6voiced-doc-0.2.1-r0.apk                          31-Dec-2025 18:36    2322
q6voiced-openrc-0.2.1-r0.apk                       31-Dec-2025 18:36    1844
q6voiced-systemd-0.2.1-r0.apk                      31-Dec-2025 18:36    1886
qadwaitadecorations-0.1.7-r1.apk                   05-Oct-2025 09:31     52K
qbittorrent-cli-2.2.0-r5.apk                       04-Dec-2025 14:22      6M
qdjango-0.6.2-r1.apk                               25-Oct-2024 20:12    112K
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 20:12     14K
qflipper-1.3.3-r1.apk                              25-Oct-2024 20:12    527K
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 20:12      1M
qmk-cli-1.2.0-r0.apk                               29-Nov-2025 12:10     15K
qmk-cli-pyc-1.2.0-r0.apk                           29-Nov-2025 12:10     23K
qmk-cli-udev-1.2.0-r0.apk                          29-Nov-2025 12:10    2437
qml-box2d-0_git20180406-r0.apk                     25-Oct-2024 20:12    147K
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 20:12    1496
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12    6995
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12     30K
qownnotes-25.12.5-r0.apk                           14-Dec-2025 16:16      3M
qownnotes-lang-25.12.5-r0.apk                      14-Dec-2025 16:16      5M
qpdfview-0.5-r2.apk                                29-Jan-2025 19:46      1M
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:46    4350
qperf-0.4.11-r2.apk                                12-May-2025 21:41     32K
qperf-doc-0.4.11-r2.apk                            12-May-2025 21:41    5697
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 20:12    175K
qsstv-9.5.8-r2.apk                                 25-Oct-2024 20:12    990K
qstardict-2.0.2-r1.apk                             24-Nov-2024 03:04    465K
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 03:04     11K
qsynth-1.0.3-r0.apk                                25-Nov-2025 11:01    466K
qsynth-doc-1.0.3-r0.apk                            25-Nov-2025 11:01    4478
qt-wayland-shell-helpers-0.1.1-r3.apk              25-Oct-2024 20:12     13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk          25-Oct-2024 20:12    4031
qt5ct-1.9-r0.apk                                   19-Nov-2025 04:36    240K
qt5ct-dev-1.9-r0.apk                               19-Nov-2025 04:36    1580
qt6-qtgraphs-6.10.1-r0.apk                         10-Dec-2025 18:00      1M
qt6-qtgraphs-dev-6.10.1-r0.apk                     10-Dec-2025 18:00    118K
qt6ct-0.11-r2.apk                                  04-Dec-2025 14:22    201K
qtile-0.33.0-r0.apk                                17-Sep-2025 07:44    469K
qtile-pyc-0.33.0-r0.apk                            17-Sep-2025 07:44    858K
qtmir-0.7.2_git20250407-r5.apk                     18-Dec-2025 14:42    545K
qtmir-dev-0.7.2_git20250407-r5.apk                 18-Dec-2025 14:42    6797
qtox-1.18.3-r0.apk                                 29-Nov-2025 16:52      5M
qtpass-1.4.0-r0.apk                                25-Oct-2024 20:12    438K
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 20:12    2192
quakespasm-0.96.3-r0.apk                           25-Oct-2024 20:12    494K
queercat-1.0.0-r0.apk                              25-Oct-2024 20:12    8374
quodlibet-4.7.1-r0.apk                             16-Sep-2025 18:53      1M
quodlibet-bash-completion-4.7.1-r0.apk             16-Sep-2025 18:53    4606
quodlibet-doc-4.7.1-r0.apk                         16-Sep-2025 18:53    8868
quodlibet-lang-4.7.1-r0.apk                        16-Sep-2025 18:53      1M
quodlibet-pyc-4.7.1-r0.apk                         16-Sep-2025 18:53      2M
quodlibet-zsh-completion-4.7.1-r0.apk              16-Sep-2025 18:53    2774
raku-distribution-builder-makefromjson-0.6-r1.apk  29-Aug-2025 08:46     41K
raku-distribution-builder-makefromjson-doc-0.6-..> 29-Aug-2025 08:46    2406
raku-inline-perl5-0.60_git20250327-r1.apk          29-Aug-2025 08:46      2M
raku-inline-perl5-doc-0.60_git20250327-r1.apk      29-Aug-2025 08:46    6445
raku-system-query-0.1.6-r1.apk                     29-Aug-2025 08:46     17K
raku-system-query-doc-0.1.6-r1.apk                 29-Aug-2025 08:46    2575
randrctl-1.10.0-r0.apk                             18-Nov-2024 18:00     28K
randrctl-pyc-1.10.0-r0.apk                         18-Nov-2024 18:00     31K
rankwidth-0.9-r4.apk                               28-Oct-2025 02:10    5797
rankwidth-dev-0.9-r4.apk                           28-Oct-2025 02:10    2990
rankwidth-doc-0.9-r4.apk                           28-Oct-2025 02:10    2982
rankwidth-libs-0.9-r4.apk                          28-Oct-2025 02:10    5340
rankwidth-static-0.9-r4.apk                        28-Oct-2025 02:10    5014
raspberrypi-usbboot-20250227-r0.apk                09-Jun-2025 08:41    884K
rathole-0.5.0-r0.apk                               25-Oct-2024 20:12      1M
rattler-build-0.18.0-r0.apk                        25-Oct-2024 20:12      6M
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 20:12    3705
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 20:12    6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 20:12    4829
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 20:12    5568
rauc-1.10.1-r0.apk                                 25-Oct-2024 20:12    146K
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 20:12    4270
rauc-service-1.10.1-r0.apk                         25-Oct-2024 20:12    3835
razercfg-0.42-r7.apk                               25-Oct-2024 20:12     84K
razercfg-gui-0.42-r7.apk                           25-Oct-2024 20:12     19K
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 20:12    1763
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 20:12     36K
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 20:12    349K
rdedup-3.2.1-r5.apk                                25-Oct-2024 20:12    831K
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:31     31K
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:31    3785
reaction-2.2.1-r0.apk                              23-Sep-2025 21:45      1M
reaction-openrc-2.2.1-r0.apk                       23-Sep-2025 21:45    1873
reaction-tools-2.2.1-r0.apk                        23-Sep-2025 21:45    5240
readosm-1.1.0-r3.apk                               12-May-2025 21:41     16K
readosm-dev-1.1.0-r3.apk                           12-May-2025 21:41     21K
reap-0.2-r0.apk                                    13-Sep-2025 13:01    5321
reap-doc-0.2-r0.apk                                13-Sep-2025 13:01    2733
reason-3.8.2-r2.apk                                25-Oct-2025 04:57     17M
reason-rtop-3.8.2-r2.apk                           25-Oct-2025 04:57     24M
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 20:12    419K
recoll-1.43.9-r0.apk                               28-Dec-2025 08:01      3M
recoll-dev-1.43.9-r0.apk                           28-Dec-2025 08:01     55K
recoll-doc-1.43.9-r0.apk                           28-Dec-2025 08:01     34K
redhat-fonts-4.1.0-r1.apk                          09-Aug-2025 02:08    809K
redlib-0.36.0-r0.apk                               27-Oct-2025 08:30      3M
refine-0.7.0-r0.apk                                04-Jan-2026 14:51     36K
refine-lang-0.7.0-r0.apk                           04-Jan-2026 14:51     32K
reg-0.16.1-r33.apk                                 04-Dec-2025 14:22      5M
regal-0.36.1-r1.apk                                04-Dec-2025 14:22     12M
regal-bash-completion-0.36.1-r1.apk                04-Dec-2025 14:22    6248
regal-fish-completion-0.36.1-r1.apk                04-Dec-2025 14:22    4431
regal-zsh-completion-0.36.1-r1.apk                 04-Dec-2025 14:22    4143
regclient-0.11.1-r0.apk                            08-Dec-2025 10:41     15M
remake-1.5-r1.apk                                  25-Oct-2024 20:12    147K
remake-dev-1.5-r1.apk                              25-Oct-2024 20:12    3000
remake-doc-1.5-r1.apk                              25-Oct-2024 20:12    202K
remake-make-1.5-r1.apk                             25-Oct-2024 20:12    1567
remco-0.12.5-r5.apk                                04-Dec-2025 14:22     10M
remco-doc-0.12.5-r5.apk                            04-Dec-2025 14:22    2310
remco-openrc-0.12.5-r5.apk                         04-Dec-2025 14:22    1743
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 20:12     18K
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 20:12    6287
repgrep-0.15.0-r0.apk                              25-Oct-2024 20:12      1M
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 20:12    1680
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 20:12    6654
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 20:12    4249
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 20:12    1686
repo-2.60-r0.apk                                   12-Dec-2025 19:49     17K
repo-doc-2.60-r0.apk                               12-Dec-2025 19:49     40K
repowerd-2023.07-r3.apk                            17-Feb-2025 09:34    918K
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 09:34    1714
reprotest-0.7.32-r0.apk                            02-Nov-2025 14:21     80K
reprotest-pyc-0.7.32-r0.apk                        02-Nov-2025 14:21    103K
reredirect-0.3-r0.apk                              25-Oct-2024 20:12    9174
reredirect-doc-0.3-r0.apk                          25-Oct-2024 20:12    2906
resources-1.9.1-r0.apk                             21-Nov-2025 09:54      2M
resources-lang-1.9.1-r0.apk                        21-Nov-2025 09:54    211K
responder-3.1.7.0-r0.apk                           14-Oct-2025 14:55    747K
restart-services-0.17.0-r0.apk                     25-Oct-2024 20:12     12K
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 20:12    6024
restic.mk-0.4.0-r0.apk                             25-Oct-2024 20:12    2981
restinio-0.6.19-r1.apk                             14-Dec-2024 19:46    1260
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 19:46    268K
rezolus-2.11.1-r3.apk                              25-Oct-2024 20:12    883K
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 20:12    3440
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 20:12    2121
rgxg-0.1.2-r2.apk                                  25-Oct-2024 20:12     15K
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 20:12    3608
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 20:12     12K
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 20:12     44K
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 20:12     73K
ri-li-2.0.1-r1.apk                                 25-Oct-2024 20:12     18M
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 20:12    532K
rinetd-0.73-r0.apk                                 25-Oct-2024 20:12     14K
rinetd-doc-0.73-r0.apk                             25-Oct-2024 20:12     16K
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 20:12    1758
ripasso-cursive-0.7.0-r0.apk                       23-May-2025 09:26      3M
river-luatile-0.1.4-r0.apk                         19-May-2025 14:30    265K
river-shifttags-0.2.1-r1.apk                       12-May-2025 21:41    6073
river-shifttags-doc-0.2.1-r1.apk                   12-May-2025 21:41    2426
rizin-0.8.1-r0.apk                                 01-Jul-2025 19:19      3M
rizin-cutter-2.4.1-r1.apk                          06-Jan-2026 19:07      3M
rizin-cutter-dev-2.4.1-r1.apk                      06-Jan-2026 19:07    103K
rizin-dev-0.8.1-r0.apk                             01-Jul-2025 19:19    323K
rizin-doc-0.8.1-r0.apk                             01-Jul-2025 19:19     19K
rizin-libs-0.8.1-r0.apk                            01-Jul-2025 19:19      6M
rke-1.4.3-r20.apk                                  04-Dec-2025 14:22     21M
rke-doc-1.4.3-r20.apk                              04-Dec-2025 14:22    3031
rmlint-2.10.2-r2.apk                               25-Oct-2024 20:12    156K
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 20:12     18K
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 20:12     19K
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 20:12     96K
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 20:12    124K
rmtfs-1.1.1-r1.apk                                 29-Dec-2025 19:59     15K
rmtfs-doc-1.1.1-r1.apk                             29-Dec-2025 19:59    2464
rmtfs-openrc-1.1.1-r1.apk                          29-Dec-2025 19:59    2172
rmtfs-systemd-1.1.1-r1.apk                         29-Dec-2025 19:59    1900
rmtfs-udev-1.1.1-r1.apk                            29-Dec-2025 19:59    1718
rocm-cmake-6.4.3-r0.apk                            18-Aug-2025 11:32     28K
rocm-cmake-doc-6.4.3-r0.apk                        18-Aug-2025 11:32    2483
rocm-core-6.4.3-r0.apk                             18-Aug-2025 11:32    7931
rocm-core-dev-6.4.3-r0.apk                         18-Aug-2025 11:32    6746
rocm-core-doc-6.4.3-r0.apk                         18-Aug-2025 11:32    2486
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 20:12    5516
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 20:12    9054
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 20:12    5072
roll-2.6.1-r1.apk                                  13-Oct-2025 16:06     13K
roll-bash-completion-2.6.1-r1.apk                  13-Oct-2025 16:06    1884
roll-doc-2.6.1-r1.apk                              13-Oct-2025 16:06     11K
ropgadget-7.7-r0.apk                               31-Dec-2025 18:36     26K
ropgadget-pyc-7.7-r0.apk                           31-Dec-2025 18:36     48K
rosdep-0.19.0-r6.apk                               25-Oct-2024 20:12     66K
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 20:12    119K
rosenpass-0.2.2-r1.apk                             01-Feb-2025 18:23    978K
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 20:12    603K
rpi-imager-1.9.0-r1.apk                            24-Jul-2025 08:08    719K
rpi-imager-doc-1.9.0-r1.apk                        24-Jul-2025 08:08    3182
rss-email-0.5.1-r0.apk                             10-Aug-2025 11:21      2M
rss-email-doc-0.5.1-r0.apk                         10-Aug-2025 11:21    6546
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17    8984
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17    2823
rt5-5.0.8-r0.apk                                   15-Jun-2025 04:44     17M
rt6-6.0.1-r0.apk                                   13-Aug-2025 03:56     12M
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 20:12     64K
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 20:12    8353
rtl8192eu-src-5.11.2.3_git20251220-r0.apk          10-Jan-2026 17:55      3M
rtl8812au-src-5.6.4.2_git20250530-r0.apk           01-Jun-2025 16:07      3M
rtl8821ce-src-6.16_git20250820-r0.apk              01-Sep-2025 07:03      4M
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 20:12      4M
rtmidi-6.0.0-r0.apk                                25-Oct-2024 20:12     32K
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 20:12     14K
rtptools-1.22-r2.apk                               25-Oct-2024 20:12     30K
rtptools-doc-1.22-r2.apk                           25-Oct-2024 20:12     13K
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 20:12    759K
ruby-ansi-1.5.0-r0.apk                             06-Jul-2025 18:48     26K
ruby-appraisal-2.5.0-r0.apk                        25-May-2025 23:52     11K
ruby-appraisal-doc-2.5.0-r0.apk                    25-May-2025 23:52    2365
ruby-benchmark-ips-2.14.0-r0.apk                   25-May-2025 23:54     14K
ruby-benchmark-ips-doc-2.14.0-r0.apk               25-May-2025 23:54    2320
ruby-build-20250925-r0.apk                         28-Sep-2025 15:45     93K
ruby-build-doc-20250925-r0.apk                     28-Sep-2025 15:45    4906
ruby-build-runtime-20250925-r0.apk                 28-Sep-2025 15:45    1340
ruby-byebug-12.0.0-r0.apk                          21-Oct-2025 04:12    128K
ruby-coderay-1.1.3-r0.apk                          25-May-2025 23:56     89K
ruby-coderay-doc-1.1.3-r0.apk                      25-May-2025 23:56    2342
ruby-crack-1.0.1-r0.apk                            21-Oct-2025 04:14    2379
ruby-crack-doc-1.0.1-r0.apk                        21-Oct-2025 04:14    2303
ruby-dry-inflector-1.3.0-r0.apk                    10-Jan-2026 03:45    8452
ruby-dry-inflector-doc-1.3.0-r0.apk                10-Jan-2026 03:45    2319
ruby-event_emitter-0.2.6-r0.apk                    26-May-2025 00:00    3150
ruby-event_emitter-doc-0.2.6-r0.apk                26-May-2025 00:00    2321
ruby-facter-4.10.0-r0.apk                          28-Jul-2025 02:04    218K
ruby-fast_gettext-3.1.0-r0.apk                     25-May-2025 23:58     22K
ruby-hashdiff-1.2.1-r0.apk                         21-Oct-2025 04:18    9169
ruby-hashdiff-doc-1.2.1-r0.apk                     21-Oct-2025 04:18    2306
ruby-hoe-4.5.1-r0.apk                              04-Jan-2026 00:57     29K
ruby-libguestfs-1.56.1-r0.apk                      22-Jul-2025 22:05    106K
ruby-mail-2.9.0-r0.apk                             24-Oct-2025 12:36    394K
ruby-minitest-autotest-1.2.1-r0.apk                10-Jan-2026 13:08     14K
ruby-minitest-focus-1.4.1-r0.apk                   04-Jan-2026 00:58    6530
ruby-minitest-power_assert-0.3.1-r0.apk            06-Jul-2025 18:48    2278
ruby-minitest-proveit-1.0.0-r0.apk                 06-Jul-2025 18:48    4881
ruby-minitest-reporters-1.7.1-r0.apk               06-Jul-2025 18:48     20K
ruby-minitest-server-1.0.9-r0.apk                  21-Nov-2025 15:40    5522
ruby-path_expander-2.0.1-r0.apk                    10-Jan-2026 05:04    6855
ruby-ruby-progressbar-1.13.0-r0.apk                06-Jul-2025 18:48     25K
ruff-lsp-0.0.62-r0.apk                             06-Mar-2025 22:29     21K
ruff-lsp-pyc-0.0.62-r0.apk                         06-Mar-2025 22:29     35K
runst-0.2.0-r0.apk                                 14-Nov-2025 21:14      2M
runst-doc-0.2.0-r0.apk                             14-Nov-2025 21:14    8006
ruri-3.9.3-r0.apk                                  27-Oct-2025 08:30    117K
ruri-doc-3.9.3-r0.apk                              27-Oct-2025 08:30    2292
rust-script-0.36.0-r0.apk                          06-Sep-2025 20:30    902K
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 20:12      2M
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 20:12    2304
rustic-0.9.3-r0.apk                                25-Oct-2024 20:12      6M
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 20:12    8818
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 20:12     17K
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 20:12     13K
rustical-0.11.11-r0.apk                            11-Jan-2026 13:51      4M
rustls-ffi-0.15.0-r0.apk                           27-Dec-2025 21:42      1M
rustls-ffi-dev-0.15.0-r0.apk                       27-Dec-2025 21:42      2M
rustscan-2.4.1-r0.apk                              22-Dec-2025 02:32      2M
ruuvi-prometheus-0.1.9-r7.apk                      04-Dec-2025 14:22      4M
ruuvi-prometheus-openrc-0.1.9-r7.apk               04-Dec-2025 14:22    1715
rvlprog-0.91-r2.apk                                25-Oct-2024 20:12     29K
ry-0.5.2-r1.apk                                    25-Oct-2024 20:12    4731
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 20:12    2000
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 20:12    2312
rygel-45.1-r0.apk                                  05-Jan-2026 15:35    800K
rygel-dev-45.1-r0.apk                              05-Jan-2026 15:35     43K
rygel-doc-45.1-r0.apk                              05-Jan-2026 15:35    9900
rygel-lang-45.1-r0.apk                             05-Jan-2026 15:35    591K
rygel-systemd-45.1-r0.apk                          05-Jan-2026 15:35    1785
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 20:12     61K
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 20:12    8727
s-postgray-0.8.3-r0.apk                            25-Oct-2024 20:12     50K
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 20:12    9816
s5cmd-2.3.0-r8.apk                                 04-Dec-2025 14:22      5M
saait-0.8-r0.apk                                   25-Oct-2024 20:12    7329
saait-doc-0.8-r0.apk                               25-Oct-2024 20:12     13K
sacc-1.07-r1.apk                                   12-Sep-2025 22:22     15K
sacc-doc-1.07-r1.apk                               12-Sep-2025 22:22    2947
sandbar-0.2_git20250909-r0.apk                     21-Dec-2025 14:37     15K
satellite-1.0.0-r33.apk                            04-Dec-2025 14:22      2M
satellite-doc-1.0.0-r33.apk                        04-Dec-2025 14:22    3095
satellite-openrc-1.0.0-r33.apk                     04-Dec-2025 14:22    1946
sauerbraten-2020.12.29-r4.apk                      20-Feb-2025 18:40    934M
sbase-0_git20210730-r3.apk                         25-Oct-2024 20:12    119K
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 20:12     58K
sblg-0.5.11-r0.apk                                 25-Oct-2024 20:12     47K
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 20:12      1M
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 20:12     55K
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 20:12     22K
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 20:12     35K
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 20:12    112K
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 20:12    4634
sc-controller-0.5.5-r0.apk                         14-Dec-2025 08:56      1M
sc-controller-pyc-0.5.5-r0.apk                     14-Dec-2025 08:56    814K
sc-controller-udev-0.5.5-r0.apk                    14-Dec-2025 08:56    2110
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:44     11M
scalingo-1.30.0-r15.apk                            04-Dec-2025 14:22      6M
scap-workbench-1.2.1-r3.apk                        25-Oct-2024 20:12    249K
scap-workbench-doc-1.2.1-r3.apk                    25-Oct-2024 20:12      2M
scenefx-0.4.1-r0.apk                               20-Dec-2025 23:13     59K
scenefx-dev-0.4.1-r0.apk                           20-Dec-2025 23:13     11K
scenefx-doc-0.4.1-r0.apk                           20-Dec-2025 23:13    2329
schismtracker-20251014-r0.apk                      24-Oct-2025 23:51    453K
schismtracker-doc-20251014-r0.apk                  24-Oct-2025 23:51    6490
scooper-1.3-r1.apk                                 25-Oct-2024 20:12    504K
scooper-doc-1.3-r1.apk                             25-Oct-2024 20:12    2651
screenkey-1.5-r7.apk                               22-Jul-2025 20:52     76K
screenkey-doc-1.5-r7.apk                           22-Jul-2025 20:52     11K
screenkey-pyc-1.5-r7.apk                           22-Jul-2025 20:52     73K
sct-2018.12.18-r1.apk                              25-Oct-2024 20:12    3872
sdl3_image-3.2.6-r0.apk                            07-Jan-2026 10:54     78K
sdl3_image-dev-3.2.6-r0.apk                        07-Jan-2026 10:54     12K
sdl3_image-doc-3.2.6-r0.apk                        07-Jan-2026 10:54    2171
sdparm-1.12-r1.apk                                 25-Oct-2024 20:12    147K
sdparm-doc-1.12-r1.apk                             25-Oct-2024 20:12     19K
seaweedfs-3.93-r4.apk                              04-Dec-2025 14:22     27M
seaweedfs-doc-3.93-r4.apk                          04-Dec-2025 14:22     14K
seaweedfs-openrc-3.93-r4.apk                       04-Dec-2025 14:22    1956
secsipidx-1.3.2-r17.apk                            04-Dec-2025 14:22      3M
secsipidx-dev-1.3.2-r17.apk                        04-Dec-2025 14:22      5M
secsipidx-libs-1.3.2-r17.apk                       04-Dec-2025 14:22      3M
sedutil-1.15.1-r1.apk                              25-Oct-2024 20:12    195K
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 20:12    3138
semaphoreui-2.16.37-r1.apk                         04-Dec-2025 14:22     16M
semaphoreui-bash-completion-2.16.37-r1.apk         04-Dec-2025 14:22    6243
semaphoreui-doc-2.16.37-r1.apk                     04-Dec-2025 14:22    2375
semaphoreui-fish-completion-2.16.37-r1.apk         04-Dec-2025 14:22    4428
semaphoreui-openrc-2.16.37-r1.apk                  04-Dec-2025 14:22    2142
semaphoreui-zsh-completion-2.16.37-r1.apk          04-Dec-2025 14:22    4139
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 20:12     43K
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 20:12    2663
sentinel-proxy-2.1.0-r1.apk                        13-Jun-2025 14:22     44K
sentinel-proxy-dev-2.1.0-r1.apk                    13-Jun-2025 14:22    4665
sentinel-proxy-openrc-2.1.0-r1.apk                 13-Jun-2025 14:22    2299
serialdv-1.1.5-r0.apk                              24-Oct-2025 20:26    7270
serialdv-dev-1.1.5-r0.apk                          24-Oct-2025 20:26    5471
serialdv-libs-1.1.5-r0.apk                         24-Oct-2025 20:26     71K
serie-0.5.5-r0.apk                                 10-Dec-2025 01:26    883K
serie-doc-0.5.5-r0.apk                             10-Dec-2025 01:26    8399
setroot-2.0.2-r1.apk                               25-Oct-2024 20:12     12K
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 20:12    4551
sfizz-1.2.3-r1.apk                                 02-Oct-2025 16:43      2M
sfizz-dev-1.2.3-r1.apk                             02-Oct-2025 16:43     15K
sfizz-doc-1.2.3-r1.apk                             02-Oct-2025 16:43    3087
sflowtool-6.02-r0.apk                              25-Oct-2024 20:12     41K
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 20:12    9581
sfwbar-1.0_beta161-r0.apk                          31-Jul-2025 13:29    275K
sfwbar-doc-1.0_beta161-r0.apk                      31-Jul-2025 13:29     27K
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 20:12      3M
shc-4.0.3-r2.apk                                   25-Oct-2024 20:12     16K
shellinabox-2.21-r3.apk                            25-Oct-2024 20:12    118K
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 20:12     19K
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 20:12    3606
shine-3.1.1-r0.apk                                 25-Oct-2024 20:12     56K
shipments-0.3.0-r0.apk                             25-Oct-2024 20:12     23K
shntool-3.0.10-r5.apk                              24-Feb-2025 21:15     56K
shntool-doc-3.0.10-r5.apk                          24-Feb-2025 21:15     10K
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 20:12    1854
sigma-0.23.1-r1.apk                                25-Oct-2024 20:12    237K
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 20:12    340K
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 20:12     43K
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 20:12    8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 20:12     13K
silc-client-1.1.11-r18.apk                         01-Jul-2025 19:19    876K
silc-client-doc-1.1.11-r18.apk                     01-Jul-2025 19:19     82K
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:14      2M
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:14    404K
simh-3.11.1-r1.apk                                 25-Oct-2024 20:12      3M
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 12:28    447K
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 12:28    478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 12:28    482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28    485K
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 12:28    483K
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 12:28    504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 12:28    520K
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:28    526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 12:28    509K
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:28    535K
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:28    547K
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:28    528K
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:28    537K
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:28    533K
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:28    544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:28    530K
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:28    540K
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 12:28    484K
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 12:28    490K
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 12:28     14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 12:28    525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:28    537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 12:28    517K
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:28    527K
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 12:28    449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 12:28    487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28    492K
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 12:28    450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:28    451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 12:28    536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:28    549K
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 12:28    518K
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:28    525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 12:28    533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:28    538K
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:28    551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:28    545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:28    538K
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:28    550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:28    524K
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:28    535K
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:28    531K
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:28    542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 12:28    530K
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:28    541K
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:28    520K
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:28    527K
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:28    534K
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:28    546K
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 12:28    529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 12:28    540K
simpleble-0.10.3-r0.apk                            11-Jul-2025 02:16    1257
simpleble-dev-0.10.3-r0.apk                        11-Jul-2025 02:16     28K
singular-4.4.1_p2-r1.apk                           26-Nov-2025 08:26     11M
singular-dev-4.4.1_p2-r1.apk                       26-Nov-2025 08:26    359K
singular-doc-4.4.1_p2-r1.apk                       26-Nov-2025 08:26      1M
singular-emacs-4.4.1_p2-r1.apk                     26-Nov-2025 08:26    102K
singular-static-4.4.1_p2-r1.apk                    26-Nov-2025 08:26      6M
sipexer-1.2.0-r8.apk                               04-Dec-2025 14:22      3M
sipgrep-2.2.0-r1.apk                               25-Oct-2024 20:12     27K
siril-1.2.6-r5.apk                                 27-Sep-2025 21:22      3M
siril-doc-1.2.6-r5.apk                             27-Sep-2025 21:22     18K
siril-lang-1.2.6-r5.apk                            27-Sep-2025 21:22      2M
sish-2.16.1-r10.apk                                04-Dec-2025 14:22      8M
sish-openrc-2.16.1-r10.apk                         04-Dec-2025 14:22    1953
sloccount-2.26-r3.apk                              25-Oct-2024 20:12     59K
sloccount-doc-2.26-r3.apk                          25-Oct-2024 20:12     59K
slurm-0.4.4-r0.apk                                 25-Oct-2024 20:12     14K
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 20:12    2314
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56     72K
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56     70K
smb4k-4.0.5-r0.apk                                 10-Jan-2026 20:18      2M
smb4k-doc-4.0.5-r0.apk                             10-Jan-2026 20:18      5M
smile-2.11.0-r0.apk                                21-Dec-2025 11:40    873K
smile-lang-2.11.0-r0.apk                           21-Dec-2025 11:40     28K
smplxmpp-0.9.3-r5.apk                              12-Oct-2025 12:13    154K
smplxmpp-doc-0.9.3-r5.apk                          12-Oct-2025 12:13     25K
snapper-0.13.0-r0.apk                              16-Sep-2025 18:45      1M
snapper-bash-completion-0.13.0-r0.apk              16-Sep-2025 18:45    3447
snapper-dev-0.13.0-r0.apk                          16-Sep-2025 18:45     11K
snapper-doc-0.13.0-r0.apk                          16-Sep-2025 18:45     25K
snapper-lang-0.13.0-r0.apk                         16-Sep-2025 18:45    219K
snapper-zsh-completion-0.13.0-r0.apk               16-Sep-2025 18:45    3649
snapraid-13.0-r0.apk                               01-Nov-2025 00:22    291K
snapraid-doc-13.0-r0.apk                           01-Nov-2025 00:22     19K
snippets-ls-0.0.4_git20240617-r10.apk              04-Dec-2025 14:22      1M
snore-0.3.1-r0.apk                                 25-Oct-2024 20:12    4571
snore-doc-0.3.1-r0.apk                             25-Oct-2024 20:12    3142
so-0.4.10-r0.apk                                   25-Oct-2024 20:12      2M
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11     51K
solanum-6.0.0-r0.apk                               11-Apr-2025 18:13    261K
solanum-lang-6.0.0-r0.apk                          11-Apr-2025 18:13     47K
solarus-engine-1.7.0-r2.apk                        20-Jul-2025 19:30      2M
solarus-engine-doc-1.7.0-r2.apk                    20-Jul-2025 19:30    3430
solarus-quest-editor-1.7.0-r1.apk                  20-Feb-2025 18:40     56M
somebar-1.0.3-r0.apk                               25-Oct-2024 20:12     50K
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 20:12    2480
sonicradio-0.8.12-r0.apk                           06-Jan-2026 13:45      5M
sopwith-2.5.0-r0.apk                               25-Oct-2024 20:12     48K
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 20:12     15K
sos-0.8-r36.apk                                    04-Dec-2025 14:22      3M
soundconverter-4.1.1-r0.apk                        29-Jul-2025 13:12    165K
soundconverter-doc-4.1.1-r0.apk                    29-Jul-2025 13:12    4831
soundconverter-lang-4.1.1-r0.apk                   29-Jul-2025 13:12    107K
soundconverter-pyc-4.1.1-r0.apk                    29-Jul-2025 13:12     77K
spacectl-1.12.0-r6.apk                             04-Dec-2025 14:22      6M
spacectl-bash-completion-1.12.0-r6.apk             04-Dec-2025 14:22    2107
spacectl-doc-1.12.0-r6.apk                         04-Dec-2025 14:22    2344
spacectl-fish-completion-1.12.0-r6.apk             04-Dec-2025 14:22    7226
spacectl-zsh-completion-1.12.0-r6.apk              04-Dec-2025 14:22    1853
spark-2.8.3-r1.apk                                 25-Oct-2024 20:12     29M
speedcrunch-0.12-r3.apk                            25-Oct-2024 20:12      1M
speedtest-5.2.5-r1.apk                             25-Oct-2024 20:12    253K
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 20:12     18K
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 20:12     13K
speedtest-go-1.1.5-r20.apk                         04-Dec-2025 14:22      6M
speedtest-go-doc-1.1.5-r20.apk                     04-Dec-2025 14:22    4618
speedtest-go-openrc-1.1.5-r20.apk                  04-Dec-2025 14:22    1780
speedtest_exporter-0.3.2-r20.apk                   04-Dec-2025 14:22      4M
speedtest_exporter-openrc-0.3.2-r20.apk            04-Dec-2025 14:22    1899
spice-html5-0.3.0-r1.apk                           25-Oct-2024 20:12    438K
spike-1.1.0-r0.apk                                 25-Oct-2024 20:12      1M
spiped-1.6.4-r0.apk                                04-Dec-2025 14:22     84K
spnavcfg-1.3-r0.apk                                09-Jan-2026 23:44     39K
spotify-player-0.20.4-r0.apk                       02-Mar-2025 15:56      4M
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 20:12      2M
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 20:12     46K
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 20:12    174K
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 20:12    327K
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 20:12    4750
spreadtrum_flash-1.20240815-r0.apk                 08-May-2025 12:15     38K
spvm-errno-0.093-r1.apk                            01-Jul-2025 19:19     17K
spvm-errno-doc-0.093-r1.apk                        01-Jul-2025 19:19    6151
spvm-math-1.006-r1.apk                             01-Jul-2025 19:19     23K
spvm-math-doc-1.006-r1.apk                         01-Jul-2025 19:19    7103
spvm-mime-base64-1.003-r1.apk                      01-Jul-2025 19:19     15K
spvm-mime-base64-doc-1.003-r1.apk                  01-Jul-2025 19:19    5610
spvm-thread-0.003-r1.apk                           01-Jul-2025 19:19     12K
spvm-thread-doc-0.003-r1.apk                       01-Jul-2025 19:19    6111
sqlar-0_git20180107-r1.apk                         25-Oct-2024 20:12     13K
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 20:12    3390
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 20:12     88K
sqlmap-1.9.12-r0.apk                               12-Dec-2025 20:05      7M
sqlmap-pyc-1.9.12-r0.apk                           12-Dec-2025 20:05      1M
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 20:12     20K
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:32      2M
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:32    8853
srain-1.8.1-r0.apk                                 26-Jun-2025 18:42    161K
srain-lang-1.8.1-r0.apk                            26-Jun-2025 18:42     35K
srb2-2.2.15-r2.apk                                 20-Dec-2025 15:19      2M
srb2-data-2.2.15-r2.apk                            20-Dec-2025 15:19    160M
sregex-0.0.1-r1.apk                                25-Oct-2024 20:12     23K
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 20:12     27K
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 20:12     97K
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 20:12     18K
ssh-get-id-0.2.0-r0.apk                            15-Dec-2025 03:11      3M
ssh-get-id-doc-0.2.0-r0.apk                        15-Dec-2025 03:11    2323
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 20:12    8687
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 20:12    2106
ssh-studio-1.3.1-r0.apk                            12-Oct-2025 20:35    202K
ssh-studio-lang-1.3.1-r0.apk                       12-Oct-2025 20:35    1920
ssh-studio-pyc-1.3.1-r0.apk                        12-Oct-2025 20:35    107K
ssh-tools-1.8-r0.apk                               25-Oct-2024 20:12     26K
sshm-1.10.0-r0.apk                                 08-Jan-2026 13:55      4M
sshm-doc-1.10.0-r0.apk                             08-Jan-2026 13:55    2299
sshs-4.7.2-r0.apk                                  23-Apr-2025 22:52    743K
sshsrv-1.0-r17.apk                                 04-Dec-2025 14:22      1M
sshuttle-1.1.2-r0.apk                              25-Oct-2024 20:12     62K
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 20:12    8683
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 20:12    101K
sssd-2.11.1-r2.apk                                 20-Nov-2025 07:32      2M
sssd-dev-2.11.1-r2.apk                             20-Nov-2025 07:32     15K
sssd-openrc-2.11.1-r2.apk                          20-Nov-2025 07:32    1718
ssss-0.5.7-r0.apk                                  25-Oct-2024 20:12     13K
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 20:12    3419
sstp-client-1.0.20-r3.apk                          24-Oct-2025 02:38     39K
sstp-client-dev-1.0.20-r3.apk                      24-Oct-2025 02:38    5477
sstp-client-doc-1.0.20-r3.apk                      24-Oct-2025 02:38    4981
stalwart-cli-0.15.3-r2.apk                         08-Jan-2026 20:59      2M
stalwart-mail-0.15.3-r2.apk                        08-Jan-2026 20:59     19M
stalwart-mail-openrc-0.15.3-r2.apk                 08-Jan-2026 20:59    2116
stam-0.12.2-r0.apk                                 22-Sep-2025 12:09      2M
starfighter-2.4-r0.apk                             25-Oct-2024 20:12     48M
starfighter-doc-2.4-r0.apk                         25-Oct-2024 20:12     22K
startup-2.0.3-r5.apk                               25-Oct-2024 20:12    411K
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 20:12     30K
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 20:12     30K
startup-dev-2.0.3-r5.apk                           25-Oct-2024 20:12    5983
startup-doc-2.0.3-r5.apk                           25-Oct-2024 20:12     48K
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 20:12    5511
startup-lang-2.0.3-r5.apk                          25-Oct-2024 20:12     17K
startup-tools-2.0.3-r5.apk                         25-Oct-2024 20:12     13K
stayrtr-0.6.3-r1.apk                               04-Dec-2025 14:22     12M
stayrtr-openrc-0.6.3-r1.apk                        04-Dec-2025 14:22    2024
steamguard-cli-0.17.1-r0.apk                       10-Jul-2025 17:35      3M
steamguard-cli-bash-completion-0.17.1-r0.apk       10-Jul-2025 17:35    2962
steamguard-cli-zsh-completion-0.17.1-r0.apk        10-Jul-2025 17:35    4327
steghide-0.5.1.1-r0.apk                            25-Oct-2024 20:12    161K
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 20:12     14K
stern-1.33.0-r1.apk                                04-Dec-2025 14:22     20M
stern-bash-completion-1.33.0-r1.apk                04-Dec-2025 14:22    5982
stern-fish-completion-1.33.0-r1.apk                04-Dec-2025 14:22    4422
stern-zsh-completion-1.33.0-r1.apk                 04-Dec-2025 14:22    4140
sthttpd-2.27.1-r2.apk                              25-Oct-2024 20:12     62K
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 20:12     18K
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 20:12    1998
stockfish-17-r0.apk                                03-Mar-2025 22:41     62M
stone-soup-0.33.1-r0.apk                           26-Dec-2025 14:51     31M
sturmreader-3.7.2-r2.apk                           06-Sep-2025 20:30   1023K
sturmreader-lang-3.7.2-r2.apk                      06-Sep-2025 20:30     39K
stw-0.3-r0.apk                                     25-Oct-2024 20:12    8170
stw-doc-0.3-r0.apk                                 25-Oct-2024 20:12    2588
sublime-music-0.12.0-r1.apk                        25-Oct-2024 20:12    190K
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 20:12    302K
subliminal-2.4.0-r0.apk                            23-Nov-2025 23:11     90K
subliminal-pyc-2.4.0-r0.apk                        23-Nov-2025 23:11    179K
subtitleeditor-0.55.0-r0.apk                       20-Nov-2025 07:53      2M
subtitleeditor-dev-0.55.0-r0.apk                   20-Nov-2025 07:53    1595
subtitleeditor-doc-0.55.0-r0.apk                   20-Nov-2025 07:53    2948
sudo-ldap-1.9.17_p1-r0.apk                         01-Jul-2025 19:19    713K
supercollider-3.14.1-r0.apk                        08-Jan-2026 23:38      9M
supercollider-dev-3.14.1-r0.apk                    08-Jan-2026 23:38     40K
supermin-5.2.2-r2.apk                              25-Oct-2024 20:12    493K
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 20:12    9587
supersonik-0.1.0-r3.apk                            04-Dec-2025 14:22      1M
surf-2.1-r3.apk                                    25-Oct-2024 20:12     23K
surf-doc-2.1-r3.apk                                25-Oct-2024 20:12    4747
surfraw-2.3.0-r0.apk                               25-Oct-2024 20:12     79K
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 20:12     18K
suru-icon-theme-2025.05.0-r0.apk                   09-May-2025 17:35      3M
svgbob-0.7.6-r0.apk                                01-Feb-2025 19:51    467K
svls-0.2.14-r0.apk                                 13-Dec-2025 03:00      3M
svls-doc-0.2.14-r0.apk                             13-Dec-2025 03:00    2283
swaks-20240103.0-r0.apk                            25-Oct-2024 20:12     66K
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 20:12     50K
swappy-1.7.1-r0.apk                                21-Aug-2025 23:29     30K
swappy-doc-1.7.1-r0.apk                            21-Aug-2025 23:29    3905
swappy-lang-1.7.1-r0.apk                           21-Aug-2025 23:29    3885
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 20:12     10K
swayhide-0.2.1-r2.apk                              25-Oct-2024 20:12    263K
swhkd-1.2.1-r0.apk                                 25-Oct-2024 20:12      1M
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 20:12    6322
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:10      5M
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:10      2M
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:10     22K
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:10    922K
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:10      1M
sxcs-1.1.0-r0.apk                                  25-Oct-2024 20:12    8547
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 20:12    2699
syd-tui-0.2.2-r0.apk                               07-Dec-2025 22:30    419K
sydbox-3.45.2-r0.apk                               03-Dec-2025 02:44      5M
sydbox-doc-3.45.2-r0.apk                           03-Dec-2025 02:44    165K
sydbox-syd-3.45.2-r0.apk                           03-Dec-2025 02:44      2M
sydbox-test-3.45.2-r0.apk                          03-Dec-2025 02:44      2M
sydbox-utils-3.45.2-r0.apk                         03-Dec-2025 02:44      6M
sydbox-vim-3.45.2-r0.apk                           03-Dec-2025 02:44    7384
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 20:12    8730
symbiyosys-0.36-r0.apk                             25-Oct-2024 20:12     38K
symengine-0.12.0-r0.apk                            25-Oct-2024 20:12      3M
symlinks-1.4.3-r0.apk                              21-Apr-2025 21:27    6230
symlinks-doc-1.4.3-r0.apk                          21-Apr-2025 21:27    3941
synapse-bt-1.0-r4.apk                              25-Oct-2024 20:12      1M
synapse-bt-cli-1.0-r4.apk                          25-Oct-2024 20:12   1013K
synapse-bt-openrc-1.0-r4.apk                       25-Oct-2024 20:12    1862
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 20:12    440K
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 20:12    2254
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 20:12    221K
syncwhen-0.3-r0.apk                                01-Nov-2025 17:26    5415
syncwhen-systemd-0.3-r0.apk                        01-Nov-2025 17:26    1817
t2sz-1.1.2-r0.apk                                  25-Oct-2024 20:12    9696
tachyon-0.99_beta6-r2.apk                          10-Dec-2025 19:09    116K
tachyon-scenes-0.99_beta6-r2.apk                   10-Dec-2025 19:09      2M
tailspin-5.5.0-r0.apk                              28-Oct-2025 06:57      1M
tailspin-bash-completion-5.5.0-r0.apk              28-Oct-2025 06:57    2297
tailspin-doc-5.5.0-r0.apk                          28-Oct-2025 06:57    3075
tailspin-fish-completion-5.5.0-r0.apk              28-Oct-2025 06:57    2163
tailspin-zsh-completion-5.5.0-r0.apk               28-Oct-2025 06:57    2519
tang-15-r0.apk                                     20-Jan-2025 04:17     16K
tang-dbg-15-r0.apk                                 20-Jan-2025 04:17     31K
tang-doc-15-r0.apk                                 20-Jan-2025 04:17     21K
tang-openrc-15-r0.apk                              20-Jan-2025 04:17    1935
tangctl-0_git20241007-r9.apk                       04-Dec-2025 14:22      3M
tanidvr-1.4.1-r2.apk                               21-Mar-2025 11:52     23K
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 11:52     12K
tanka-0.35.0-r1.apk                                04-Dec-2025 14:22      7M
tartube-2.5.0-r2.apk                               09-Dec-2025 12:13      3M
tartube-pyc-2.5.0-r2.apk                           09-Dec-2025 12:13      1M
taskcafe-0.3.6-r18.apk                             04-Dec-2025 14:22     14M
taskcafe-openrc-0.3.6-r18.apk                      04-Dec-2025 14:22    1860
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 10:24      1M
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 10:24    2029
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 10:24    4034
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 10:24    1777
tauri-cli-2.4.0-r0.apk                             01-Apr-2025 21:58      7M
tayga-0.9.6-r0.apk                                 04-Jan-2026 14:16     28K
tayga-doc-0.9.6-r0.apk                             04-Jan-2026 14:16    6107
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 20:12     32K
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 20:12     38K
tcpbench-3.00-r1.apk                               12-Sep-2025 22:22     13K
tcpbench-doc-3.00-r1.apk                           12-Sep-2025 22:22    5383
tdrop-0.5.0-r0.apk                                 25-Oct-2024 20:12     12K
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 20:12    9064
tealdeer-1.8.0-r0.apk                              28-Oct-2025 02:10    833K
tealdeer-bash-completion-1.8.0-r0.apk              28-Oct-2025 02:10    2078
tealdeer-fish-completion-1.8.0-r0.apk              28-Oct-2025 02:10    2322
tealdeer-zsh-completion-1.8.0-r0.apk               28-Oct-2025 02:10    2442
telegram-bot-api-9.1-r0.apk                        02-Aug-2025 10:40      8M
telegram-tdlib-1.8.51-r0.apk                       02-Aug-2025 10:27      8M
telegram-tdlib-dev-1.8.51-r0.apk                   02-Aug-2025 10:27    189K
telegram-tdlib-static-1.8.51-r0.apk                02-Aug-2025 10:27     20M
templ-0.3.960-r1.apk                               04-Dec-2025 14:22      6M
tenv-4.9.0-r1.apk                                  04-Dec-2025 14:22     10M
tenv-bash-completion-4.9.0-r1.apk                  04-Dec-2025 14:22    6243
tenv-fish-completion-4.9.0-r1.apk                  04-Dec-2025 14:22    4431
tenv-zsh-completion-4.9.0-r1.apk                   04-Dec-2025 14:22    4138
tere-1.6.0-r0.apk                                  25-Oct-2024 20:12   1021K
tere-doc-1.6.0-r0.apk                              25-Oct-2024 20:12     14K
termbox-1.1.2-r1.apk                               25-Oct-2024 20:12     11K
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 20:12    5872
termbox-static-1.1.2-r1.apk                        25-Oct-2024 20:12     12K
termcolor-2.1.0-r0.apk                             25-Oct-2024 20:12    1505
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 20:12    6999
terminology-1.14.0-r0.apk                          27-May-2025 22:49      3M
terminology-doc-1.14.0-r0.apk                      27-May-2025 22:49    9209
terminology-lang-1.14.0-r0.apk                     27-May-2025 22:49    143K
termusic-mpv-0.12.0-r0.apk                         13-Oct-2025 01:34     10M
texlab-5.25.0-r0.apk                               31-Dec-2025 18:36      9M
tfupdate-0.8.2-r11.apk                             04-Dec-2025 14:22      5M
tfupdate-doc-0.8.2-r11.apk                         04-Dec-2025 14:22    2321
theforceengine-1.09.540-r2.apk                     09-Jan-2026 20:23      7M
theforceengine-doc-1.09.540-r2.apk                 09-Jan-2026 20:23      6M
thefuck-3.32-r5.apk                                25-Oct-2024 20:12     83K
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 20:12    156K
thelounge-4.4.3-r0.apk                             25-Oct-2024 20:12     28M
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 20:12    2357
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 20:12    2085
theme.sh-1.1.5-r0.apk                              25-Oct-2024 20:12     39K
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 20:12    2377
thermald-2.15.10-r0.apk                            23-Oct-2025 08:12    182K
thermald-doc-2.15.10-r0.apk                        23-Oct-2025 08:12    8861
thermald-openrc-2.15.10-r0.apk                     23-Oct-2025 08:12    1807
throttled-0.10.0-r1.apk                            15-Dec-2024 19:26     15K
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:26    1644
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:26     28K
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 20:12     11K
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 20:12     26K
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 20:12     10K
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 20:12     25K
tick-1.2.3-r0.apk                                  06-Oct-2025 06:06     11K
tick-doc-1.2.3-r0.apk                              06-Oct-2025 06:06    5644
ticker-5.0.7-r7.apk                                04-Dec-2025 14:22      4M
ticker-bash-completion-5.0.7-r7.apk                04-Dec-2025 14:22    6262
ticker-fish-completion-5.0.7-r7.apk                04-Dec-2025 14:22    4442
ticker-zsh-completion-5.0.7-r7.apk                 04-Dec-2025 14:22    4155
timeshift-25.12.4-r0.apk                           08-Jan-2026 21:44    473K
timeshift-doc-25.12.4-r0.apk                       08-Jan-2026 21:44    3235
timeshift-lang-25.12.4-r0.apk                      08-Jan-2026 21:44      1M
timew-1.4.3-r1.apk                                 25-Oct-2024 20:12    294K
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 20:12    2829
timew-doc-1.4.3-r1.apk                             25-Oct-2024 20:12     53K
timewarrior-1.7.1-r0.apk                           25-Oct-2024 20:12    290K
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 20:12     22K
timoni-0.23.0-r10.apk                              04-Dec-2025 14:22     25M
timoni-bash-completion-0.23.0-r10.apk              04-Dec-2025 14:22    8146
timoni-doc-0.23.0-r10.apk                          04-Dec-2025 14:22    338K
timoni-fish-completion-0.23.0-r10.apk              04-Dec-2025 14:22    4427
timoni-zsh-completion-0.23.0-r10.apk               04-Dec-2025 14:22    4139
tintin-2.02.51-r0.apk                              24-Nov-2025 12:41      2M
tinyemu-2019.12.21-r0.apk                          25-May-2025 23:35    140K
tinygltf-2.9.7-r0.apk                              02-Nov-2025 20:51    156K
tinygltf-dev-2.9.7-r0.apk                          02-Nov-2025 20:51     57K
tinyscheme-1.42-r1.apk                             25-Oct-2024 20:12     63K
tiptop-2.3.1-r2.apk                                25-Oct-2024 20:12     34K
tiptop-doc-2.3.1-r2.apk                            25-Oct-2024 20:12    7240
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09     12K
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09    3589
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09     14K
tmate-2.4.0-r4.apk                                 25-Oct-2024 20:12    296K
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 20:12     72K
tmpl-0.4.0-r16.apk                                 04-Dec-2025 14:22      3M
tmpl-doc-0.4.0-r16.apk                             04-Dec-2025 14:22    2306
tmpmail-1.2.3-r2.apk                               25-Oct-2024 20:12    7191
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 20:12    3327
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 20:12     14K
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 20:12    8592
tncattach-0.1.9-r1.apk                             25-Oct-2024 20:12     23K
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 20:12    4003
tnef-1.4.18-r0.apk                                 25-Oct-2024 20:12     25K
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 20:12    4326
toapk-1.0-r0.apk                                   25-Oct-2024 20:12     11K
today-6.2.1-r0.apk                                 17-Jun-2025 15:29    3257
today-doc-6.2.1-r0.apk                             17-Jun-2025 15:29    3383
tofu-ls-0.2.0-r1.apk                               04-Dec-2025 14:22      6M
tofu-ls-doc-0.2.0-r1.apk                           04-Dec-2025 14:22     35K
toml2json-1.3.2-r0.apk                             01-Sep-2025 13:13    367K
toml2json-doc-1.3.2-r0.apk                         01-Sep-2025 13:13    3784
topgit-0.19.13-r1.apk                              25-Oct-2024 20:12    127K
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 20:12    4122
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 20:12     73K
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 20:12    376K
toss-1.1-r1.apk                                    29-May-2025 12:07     11K
touchpad-emulator-0.3-r0.apk                       26-May-2025 09:33     13K
toybox-0.8.13-r0.apk                               16-Oct-2025 10:30    255K
tpm2-pkcs11-1.9.2-r0.apk                           13-Dec-2025 16:24    128K
tpm2-pkcs11-dev-1.9.2-r0.apk                       13-Dec-2025 16:24    1931
tpm2-pkcs11-pyc-1.9.2-r0.apk                       13-Dec-2025 16:24     70K
tqm-1.18.0-r0.apk                                  13-Dec-2025 17:47      5M
trace-cmd-3.3.1-r1.apk                             19-Jan-2025 11:55    173K
trace-cmd-bash-completion-3.3.1-r1.apk             19-Jan-2025 11:55    3429
trace-cmd-dbg-3.3.1-r1.apk                         19-Jan-2025 11:55    473K
trace-cmd-doc-3.3.1-r1.apk                         19-Jan-2025 11:55    171K
transito-0.10.0-r0.apk                             21-Dec-2025 22:46      9M
transito-doc-0.10.0-r0.apk                         21-Dec-2025 22:46    756K
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 20:12    151K
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 20:12    4326
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 20:12    106K
trantor-1.5.18-r0.apk                              25-Oct-2024 20:12    252K
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 20:12     34K
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 20:12    2675
tre-0.8.0-r2.apk                                   25-Oct-2024 20:12     27K
tre-dev-0.8.0-r2.apk                               25-Oct-2024 20:12    5322
tre-static-0.8.0-r2.apk                            25-Oct-2024 20:12     28K
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 20:12     70K
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 20:12    2342
tree-sitter-clojure-0.0.13-r0.apk                  23-Jul-2025 11:59     23K
tree-sitter-dart-0_git20250228-r0.apk              10-Mar-2025 22:57     99K
tree-sitter-git-commit-0_git20211225-r4.apk        25-Jul-2025 12:55     13K
tree-sitter-git-diff-0_git20230730-r1.apk          25-Jul-2025 12:56     10K
tree-sitter-git-rebase-0_git20240722-r0.apk        10-Mar-2025 22:57    5346
tree-sitter-gleam-1.1.0-r0.apk                     08-Oct-2025 23:22     64K
tree-sitter-hare-0_git20230616-r2.apk              22-Jul-2025 20:24     33K
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 15:52    284K
tree-sitter-hcl-1.2.0-r0.apk                       19-Jun-2025 00:25     22K
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 20:12     14K
tree-sitter-make-1.1.1-r0.apk                      03-Jan-2026 20:55     37K
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 20:12     81K
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 20:12    2324
tree-sitter-typst-0.11.0-r1.apk                    04-Jan-2026 16:20    107K
tremc-0.9.4-r0.apk                                 16-Jul-2025 04:10     53K
tremc-bash-completion-0.9.4-r0.apk                 16-Jul-2025 04:10    1944
tremc-doc-0.9.4-r0.apk                             16-Jul-2025 04:10    2889
tremc-zsh-completion-0.9.4-r0.apk                  16-Jul-2025 04:10    1864
trigger-rally-0.6.7-r3.apk                         25-Mar-2025 15:24    315K
trigger-rally-data-0.6.7-r3.apk                    25-Mar-2025 15:24    352M
trigger-rally-doc-0.6.7-r3.apk                     25-Mar-2025 15:24     28K
trippy-0.13.0-r0.apk                               18-May-2025 23:22      2M
trippy-bash-completion-0.13.0-r0.apk               18-May-2025 23:22    3259
trippy-zsh-completion-0.13.0-r0.apk                18-May-2025 23:22    4966
trivy-0.68.2-r0.apk                                19-Dec-2025 11:40     70M
tsung-1.8.0-r3.apk                                 13-Jun-2025 06:05    722K
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 20:13     28K
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 20:13    153K
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 20:13    8291
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 20:13     61K
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 20:13    103K
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 20:13    8618
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 20:13    3192
tty-proxy-0.0.2-r33.apk                            04-Dec-2025 14:22      3M
tty-share-2.4.0-r23.apk                            04-Dec-2025 14:22      4M
ttynvt-0.17-r0.apk                                 22-Aug-2025 12:50     15K
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:47    610K
tuckr-0.12.0-r0.apk                                30-Nov-2025 10:11    521K
tui-journal-0.10.0-r0.apk                          25-Oct-2024 20:13      2M
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 20:13    7060
tup-0.7.11-r1.apk                                  19-Jun-2025 08:56    237K
tup-doc-0.7.11-r1.apk                              19-Jun-2025 08:56     21K
tup-vim-0.7.11-r1.apk                              19-Jun-2025 08:56    2572
tuptime-5.2.4-r2.apk                               06-Sep-2025 20:30     14K
tuptime-doc-5.2.4-r2.apk                           06-Sep-2025 20:30    3894
tuptime-openrc-5.2.4-r2.apk                        06-Sep-2025 20:30    1790
turn-rs-3.4.0-r1.apk                               12-Jun-2025 14:06    594K
turn-rs-doc-3.4.0-r1.apk                           12-Jun-2025 14:06     11K
turn-rs-openrc-3.4.0-r1.apk                        12-Jun-2025 14:06    2005
turnstile-0.1.10-r3.apk                            25-Oct-2024 20:13     39K
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 20:13    5839
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 20:13    1843
turntable-0.5.1-r0.apk                             06-Jan-2026 13:45    252K
turntable-lang-0.5.1-r0.apk                        06-Jan-2026 13:45     27K
twemproxy-0.5.0-r0.apk                             25-Oct-2024 20:13     71K
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 20:13     17K
twiggy-0.6.0-r3.apk                                25-Oct-2024 20:13    792K
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55      3M
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55    3578
ty-0.0.4-r0.apk                                    21-Dec-2025 18:52      6M
ty-bash-completion-0.0.4-r0.apk                    21-Dec-2025 18:52    2623
ty-fish-completion-0.0.4-r0.apk                    21-Dec-2025 18:52    3262
ty-pyc-0.0.4-r0.apk                                21-Dec-2025 18:52    3966
ty-zsh-completion-0.0.4-r0.apk                     21-Dec-2025 18:52    3584
typobuster-1.0.0-r0.apk                            23-Apr-2025 22:52    129K
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:44    529K
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 20:13    105K
uasm-2.56.2-r0.apk                                 25-Oct-2024 20:13    273K
ubase-20200605-r3.apk                              25-Oct-2024 20:13     46K
ubase-doc-20200605-r3.apk                          25-Oct-2024 20:13     21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 20:13     16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 20:13    2342
ubus-2025.10.17-r0.apk                             25-Oct-2025 12:57     34K
ubus-dev-2025.10.17-r0.apk                         25-Oct-2025 12:57    5731
uclient-20251003-r0.apk                            05-Jan-2026 19:39     18K
uclient-dev-20251003-r0.apk                        05-Jan-2026 19:39    3624
uclient-fetch-20251003-r0.apk                      05-Jan-2026 19:39     11K
udpt-3.1.2-r0.apk                                  25-Oct-2024 20:13    716K
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 20:13    1851
ueberzug-18.3.1-r0.apk                             22-Feb-2025 11:09     65K
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 11:09     64K
ufw-docker-251123-r0.apk                           04-Jan-2026 00:59    9810
ufw-docker-doc-251123-r0.apk                       04-Jan-2026 00:59     14K
undock-0.10.0-r5.apk                               04-Dec-2025 14:22     11M
unicorn-2.1.4-r0.apk                               14-Oct-2025 14:04      9M
unicorn-dev-2.1.4-r0.apk                           14-Oct-2025 14:04     10M
unit-php81-1.35.0-r1.apk                           15-Sep-2025 15:02     36K
unit-php82-1.35.0-r0.apk                           24-Oct-2025 02:17     36K
up-0.4-r34.apk                                     04-Dec-2025 14:22      1M
update-sysfs-2.1.1_p7-r0.apk                       12-Nov-2025 10:44    3201
upterm-0.17.0-r1.apk                               04-Dec-2025 14:22      7M
upterm-bash-completion-0.17.0-r1.apk               04-Dec-2025 14:22    5689
upterm-doc-0.17.0-r1.apk                           04-Dec-2025 14:22    7211
upterm-server-0.17.0-r1.apk                        04-Dec-2025 14:22      7M
upterm-server-openrc-0.17.0-r1.apk                 04-Dec-2025 14:22    2008
upterm-zsh-completion-0.17.0-r1.apk                04-Dec-2025 14:22    4138
uranium-5.2.2-r3.apk                               25-Oct-2024 20:13    596K
urlwatch-2.28-r2.apk                               25-Oct-2024 20:13     49K
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 20:13     33K
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 20:13    101K
usb-moded-notify-0.3.0-r0.apk                      28-Dec-2025 18:16    3176
usb-moded-notify-systemd-0.3.0-r0.apk              28-Dec-2025 18:16    1825
usbtop-1.0-r0.apk                                  12-Apr-2025 12:26     14K
usql-0.19.26-r1.apk                                04-Dec-2025 14:22     46M
ustr-1.0.4-r1.apk                                  25-Oct-2024 20:13     54K
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 20:13     77K
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 20:13     91K
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 20:13     97K
ustr-static-1.0.4-r1.apk                           25-Oct-2024 20:13    147K
utop-2.9.1-r4.apk                                  25-Oct-2024 20:13     13M
utop-common-2.9.1-r4.apk                           25-Oct-2024 20:13    2093
utop-doc-2.9.1-r4.apk                              25-Oct-2024 20:13    5886
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 20:13     13K
utop-full-2.9.1-r4.apk                             25-Oct-2024 20:13     13M
uucp-1.07-r6.apk                                   25-Oct-2024 20:13    479K
uucp-doc-1.07-r6.apk                               25-Oct-2024 20:13    118K
uxn-1.0-r0.apk                                     25-Oct-2024 20:13     43K
uxn-doc-1.0-r0.apk                                 25-Oct-2024 20:13    4282
valent-1.0.0_alpha49-r0.apk                        24-Dec-2025 18:31    354K
valent-dev-1.0.0_alpha49-r0.apk                    24-Dec-2025 18:31     94K
valent-lang-1.0.0_alpha49-r0.apk                   24-Dec-2025 18:31     39K
valent-libs-1.0.0_alpha49-r0.apk                   24-Dec-2025 18:31    138K
vali-0.1.0-r1.apk                                  30-Nov-2025 11:57     31K
vali-dev-0.1.0-r1.apk                              30-Nov-2025 11:57    4408
vals-0.42.4-r1.apk                                 04-Dec-2025 14:22     39M
varnish-modules-0.26.0-r0.apk                      06-Jul-2025 18:48     40K
varnish-modules-doc-0.26.0-r0.apk                  06-Jul-2025 18:48     22K
vcdimager-2.0.1-r5.apk                             22-Jan-2025 10:33    477K
vcdimager-dev-2.0.1-r5.apk                         22-Jan-2025 10:33    120K
vcdimager-doc-2.0.1-r5.apk                         22-Jan-2025 10:33     74K
vcsh-2.0.5-r0.apk                                  25-Oct-2024 20:13    9006
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 20:13    2994
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 20:13     27K
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 20:13    2997
vcstool-0.3.0-r5.apk                               25-Oct-2024 20:13     35K
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 20:13    1799
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 20:13     58K
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 20:13    1676
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 20:13    1768
vectoroids-1.1.0-r2.apk                            25-Oct-2024 20:13    281K
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 20:13    2350
vera++-1.3.0-r11.apk                               12-Oct-2025 14:25    199K
vertigo-0.3.4-r1.apk                               04-Dec-2025 14:22      2M
vertigo-doc-0.3.4-r1.apk                           04-Dec-2025 14:22    1861
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 20:13     25K
vice-3.9-r3.apk                                    09-Jan-2026 20:23     13M
vice-doc-3.9-r3.apk                                09-Jan-2026 20:23      2M
vidcutter-6.0.5.3-r0.apk                           25-Oct-2024 20:13      3M
vidcutter-doc-6.0.5.3-r0.apk                       25-Oct-2024 20:13     24K
vidcutter-pyc-6.0.5.3-r0.apk                       25-Oct-2024 20:13      2M
video-trimmer-25.03-r0.apk                         01-Jul-2025 19:19    388K
video-trimmer-lang-25.03-r0.apk                    01-Jul-2025 19:19     93K
viewnior-1.8-r1.apk                                25-Oct-2024 20:13     75K
viewnior-doc-1.8-r1.apk                            25-Oct-2024 20:13    2147
viewnior-lang-1.8-r1.apk                           25-Oct-2024 20:13     85K
vile-9.8z_p1-r2.apk                                06-Oct-2025 10:56    767K
vile-common-9.8z_p1-r2.apk                         06-Oct-2025 10:56    355K
vile-doc-9.8z_p1-r2.apk                            06-Oct-2025 10:56    357K
vim-airline-0.11-r0.apk                            25-Oct-2024 20:13     86K
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 20:13     12K
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00     67K
vim-rust-305-r1.apk                                21-Aug-2025 07:59     20K
vimv-3.1.0-r0.apk                                  12-Oct-2025 21:19    266K
vimv-doc-3.1.0-r0.apk                              12-Oct-2025 21:19    2046
virtctl-1.6.2-r1.apk                               04-Dec-2025 14:22     16M
virtctl-bash-completion-1.6.2-r1.apk               04-Dec-2025 14:22    5246
virtctl-fish-completion-1.6.2-r1.apk               04-Dec-2025 14:22    4429
virtctl-zsh-completion-1.6.2-r1.apk                04-Dec-2025 14:22    4142
virter-0.29.0-r5.apk                               04-Dec-2025 14:22      6M
virter-bash-completion-0.29.0-r5.apk               04-Dec-2025 14:22    6256
virter-doc-0.29.0-r5.apk                           04-Dec-2025 14:22     15K
virter-fish-completion-0.29.0-r5.apk               04-Dec-2025 14:22    4432
virter-zsh-completion-0.29.0-r5.apk                04-Dec-2025 14:22    4149
virtualgl-3.1.4-r0.apk                             08-Oct-2025 23:52      2M
virtualgl-dev-3.1.4-r0.apk                         08-Oct-2025 23:52    6260
virtualgl-doc-3.1.4-r0.apk                         08-Oct-2025 23:52    315K
visidata-3.3-r0.apk                                15-Sep-2025 13:56    425K
visidata-doc-3.3-r0.apk                            15-Sep-2025 13:56     18K
visidata-pyc-3.3-r0.apk                            15-Sep-2025 13:56    846K
visidata-zsh-completion-3.3-r0.apk                 15-Sep-2025 13:56    9659
vit-2.3.2-r1.apk                                   25-Oct-2024 20:13     80K
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 20:13    151K
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 20:13    408K
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 20:13    3150
vmlinux.h-6.18-r0.apk                              16-Dec-2025 22:34    248K
vmtouch-1.3.1-r0.apk                               25-Oct-2024 20:13     14K
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 20:13    8233
voikko-fi-2.5-r0.apk                               25-Oct-2024 20:13      2M
volatility3-2.26.2-r0.apk                          20-Nov-2025 12:56      1M
volatility3-pyc-2.26.2-r0.apk                      20-Nov-2025 12:56      1M
volumeicon-0.5.1-r1.apk                            25-Oct-2024 20:13     45K
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 20:13    3853
vym-2.9.26-r0.apk                                  25-Oct-2024 20:13      3M
vym-doc-2.9.26-r0.apk                              25-Oct-2024 20:13      3M
w_scan2-1.0.17-r0.apk                              11-Jun-2025 18:25    132K
w_scan2-doc-1.0.17-r0.apk                          11-Jun-2025 18:25    4253
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:38      5M
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:38     13K
waifu2x-converter-cpp-5.3.4-r9.apk                 07-Jul-2025 07:25     12M
wakeonlan-0.42-r0.apk                              25-Oct-2024 20:13    4612
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 20:13    7694
walk-1.13.0-r8.apk                                 04-Dec-2025 14:22      3M
walk-doc-1.13.0-r8.apk                             04-Dec-2025 14:22    2285
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 20:13    5730
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 20:13    7954
warp-s3-1.3.1-r1.apk                               04-Dec-2025 14:22      9M
warpinator-2.0.2-r0.apk                            06-Jan-2026 13:45    225K
warpinator-lang-2.0.2-r0.apk                       06-Jan-2026 13:45    279K
warpinator-nemo-2.0.2-r0.apk                       06-Jan-2026 13:45    4693
wasmtime-39.0.1-r0.apk                             08-Dec-2025 21:06      9M
wasmtime-dev-39.0.1-r0.apk                         08-Dec-2025 21:06    114K
watchbind-0.2.1-r1.apk                             25-Oct-2024 20:13      1M
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 20:13    6722
watchdog-5.16-r2.apk                               25-Oct-2024 20:13     41K
watchdog-doc-5.16-r2.apk                           25-Oct-2024 20:13     14K
watershot-0.2.0-r0.apk                             25-Oct-2024 20:13      2M
way-displays-1.15.0-r0.apk                         30-Sep-2025 09:47    118K
way-displays-doc-1.15.0-r0.apk                     30-Sep-2025 09:47    4659
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10    208K
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10    3105
wayfarer-1.4.0-r0.apk                              05-Nov-2025 18:52     66K
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:18      3M
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:18    130K
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:18    3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:18    592K
waylevel-1.0.0-r1.apk                              25-Oct-2024 20:13    306K
waynergy-0.0.17-r1.apk                             12-Sep-2025 22:22     46K
wayqt-0.3.0-r1.apk                                 21-Aug-2025 07:57    142K
wayqt-dev-0.3.0-r1.apk                             21-Aug-2025 07:57     18K
wbg-1.3.0-r1.apk                                   01-Nov-2025 15:23     41K
wch-isp-0.4.1-r2.apk                               25-Oct-2024 20:13     10K
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 20:13    2723
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 20:13    1688
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:18    372K
webhookd-1.20.2-r5.apk                             04-Dec-2025 14:22      3M
webhookd-doc-1.20.2-r5.apk                         04-Dec-2025 14:22    2317
webhookd-openrc-1.20.2-r5.apk                      04-Dec-2025 14:22    2304
webtunnel-0.0.2-r6.apk                             04-Dec-2025 14:22      4M
weggli-0.2.4-r1.apk                                25-Oct-2024 20:13    824K
welle-cli-2.7-r0.apk                               07-Apr-2025 18:03    320K
welle-io-2.7-r0.apk                                07-Apr-2025 18:03    422K
welle-io-doc-2.7-r0.apk                            07-Apr-2025 18:03    4073
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:18    120K
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:18     16K
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:18      6M
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:18    1691
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:18    3154
wgcf-2.2.29-r1.apk                                 04-Dec-2025 14:22      5M
wgcf-bash-completion-2.2.29-r1.apk                 04-Dec-2025 14:22    6244
wgcf-fish-completion-2.2.29-r1.apk                 04-Dec-2025 14:22    4420
wgcf-zsh-completion-2.2.29-r1.apk                  04-Dec-2025 14:22    4145
whatsie-4.16.3-r0.apk                              12-Jan-2025 13:12     15M
whatsie-doc-4.16.3-r0.apk                          12-Jan-2025 13:12    2253
wiimms-iso-tools-3.04a-r1.apk                      25-Oct-2024 20:13      4M
wiimms-iso-tools-doc-3.04a-r1.apk                  25-Oct-2024 20:13     65K
wiimms-szs-tools-2.26a-r0.apk                      25-Oct-2024 20:13      5M
wiimms-szs-tools-doc-2.26a-r0.apk                  25-Oct-2024 20:13     50K
wiki-tui-0.9.1-r0.apk                              02-Nov-2025 18:17      2M
wiki-tui-doc-0.9.1-r0.apk                          02-Nov-2025 18:17    4643
wine-mono-10.4.1-r0.apk                            06-Jan-2026 13:45     81M
wine-staging-10.20-r0.apk                          29-Nov-2025 16:52     71M
wine-staging-dev-10.20-r0.apk                      29-Nov-2025 16:52     11M
wine-staging-doc-10.20-r0.apk                      29-Nov-2025 16:52     43K
winetricks-20250102-r0.apk                         05-Feb-2025 22:20    172K
winetricks-bash-completion-20250102-r0.apk         05-Feb-2025 22:20    8848
winetricks-doc-20250102-r0.apk                     05-Feb-2025 22:20    3774
wiremapper-0.10.0-r0.apk                           25-Oct-2024 20:13     22K
witchery-0.0.3-r2.apk                              25-Oct-2024 20:13    3275
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 20:13    172K
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 20:13    2144
wl-clip-persist-0.5.0-r0.apk                       26-Oct-2025 12:29    950K
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 20:13    3499
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 20:13    3009
wl-gammarelay-0.1.3-r4.apk                         04-Dec-2025 14:22      2M
wl-screenrec-0.1.7-r1.apk                          27-Aug-2025 16:18    566K
wl-screenrec-bash-completion-0.1.7-r1.apk          27-Aug-2025 16:18    2474
wl-screenrec-doc-0.1.7-r1.apk                      27-Aug-2025 16:18    9735
wl-screenrec-fish-completion-0.1.7-r1.apk          27-Aug-2025 16:18    3295
wl-screenrec-zsh-completion-0.1.7-r1.apk           27-Aug-2025 16:18    3741
wlavu-0_git20201101-r1.apk                         25-Oct-2024 20:13     12K
wlclock-1.0.1-r0.apk                               25-Oct-2024 20:13     17K
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 20:13    3366
wlr-sunclock-1.2.1-r0.apk                          01-Dec-2025 14:08     46K
wlroots0.17-0.17.4-r3.apk                          13-Aug-2025 03:56    389K
wlroots0.17-dbg-0.17.4-r3.apk                      13-Aug-2025 03:56      1M
wlroots0.17-dev-0.17.4-r3.apk                      13-Aug-2025 03:56     77K
wlroots0.18-0.18.3-r0.apk                          28-Nov-2025 23:54    400K
wlroots0.18-dbg-0.18.3-r0.apk                      28-Nov-2025 23:54      1M
wlroots0.18-dev-0.18.3-r0.apk                      28-Nov-2025 23:54     83K
wlroots0.18-static-0.18.3-r0.apk                   28-Nov-2025 23:54      8M
wmctrl-1.07-r1.apk                                 25-Oct-2024 20:13     14K
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 20:13    5221
wmi-client-1.3.16-r5.apk                           25-Oct-2024 20:13      2M
wok-3.0.0-r6.apk                                   25-Oct-2024 20:13    157K
wok-doc-3.0.0-r6.apk                               25-Oct-2024 20:13    3757
wok-lang-3.0.0-r6.apk                              25-Oct-2024 20:13     16K
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 20:13    119K
wol-0.7.1-r3.apk                                   25-Oct-2024 20:13     25K
wol-doc-0.7.1-r3.apk                               25-Oct-2024 20:13    5656
wol-lang-0.7.1-r3.apk                              25-Oct-2024 20:13    8353
wolfssh-1.4.17-r2.apk                              03-Jan-2026 23:40    138K
wolfssh-dev-1.4.17-r2.apk                          03-Jan-2026 23:40    171K
wpa_actiond-1.4-r7.apk                             25-Oct-2024 20:13     11K
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 20:13    2273
wput-0.6.2-r4.apk                                  25-Oct-2024 20:13     45K
wput-doc-0.6.2-r4.apk                              25-Oct-2024 20:13    8446
wshowkeys-1.0-r0.apk                               25-Oct-2024 20:13     14K
wsmancli-2.8.0-r0.apk                              14-Jul-2025 09:41     17K
wsmancli-doc-2.8.0-r0.apk                          14-Jul-2025 09:41    3753
wtfutil-0.43.0-r18.apk                             04-Dec-2025 14:22     21M
x11docker-7.6.0-r1.apk                             25-Oct-2024 20:13    113K
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 20:13    9635
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36     73K
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36     17K
xcape-1.2-r1.apk                                   14-May-2025 18:17    7211
xcape-doc-1.2-r1.apk                               14-May-2025 18:17    3149
xcompmgr-1.1.10-r0.apk                             28-Jun-2025 11:28     15K
xcompmgr-doc-1.1.10-r0.apk                         28-Jun-2025 11:28    2659
xdg-native-messaging-proxy-0.1.0-r0.apk            27-Nov-2025 14:19     23K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk    27-Nov-2025 14:19    1814
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 20:13     70K
xed-3.8.4-r0.apk                                   23-Oct-2025 07:55      1M
xed-dev-3.8.4-r0.apk                               23-Oct-2025 07:55     14K
xed-doc-3.8.4-r0.apk                               23-Oct-2025 07:55    971K
xed-lang-3.8.4-r0.apk                              23-Oct-2025 07:55      2M
xed-python-3.8.4-r0.apk                            23-Oct-2025 07:55     24K
xendmail-0.4.4-r0.apk                              06-Aug-2025 08:55    930K
xendmail-doc-0.4.4-r0.apk                          06-Aug-2025 08:55    2594
xf86-video-vboxvideo-1.0.0-r1.apk                  25-Oct-2024 20:13     17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk              25-Oct-2024 20:13    3272
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 20:13     39K
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 20:13    5187
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 20:13     90K
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 20:13    2565
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 20:13     59K
xfce4-panel-profiles-1.1.1-r1.apk                  24-Nov-2025 12:53     58K
xfce4-panel-profiles-doc-1.1.1-r1.apk              24-Nov-2025 12:53     20K
xfce4-panel-profiles-lang-1.1.1-r1.apk             24-Nov-2025 12:53     53K
xfd-1.1.4-r0.apk                                   25-Oct-2024 20:13     14K
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 20:13    5046
xfsdump-3.2.0-r0.apk                               30-Aug-2025 20:48    427K
xfsdump-doc-3.2.0-r0.apk                           30-Aug-2025 20:48     42K
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 20:13    276K
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 20:13    2611
xiccd-0.4.1-r0.apk                                 06-Jan-2026 14:32     15K
xiccd-doc-0.4.1-r0.apk                             06-Jan-2026 14:32    3610
xisxwayland-2-r2.apk                               09-Jan-2026 22:29    4305
xisxwayland-doc-2-r2.apk                           09-Jan-2026 22:29    2065
xkb-switch-1.8.5-r1.apk                            14-May-2025 18:17     20K
xkb-switch-doc-1.8.5-r1.apk                        14-May-2025 18:17    2164
xlhtml-0.5.1-r0.apk                                25-Oct-2024 20:13     11K
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 20:13    2568
xload-1.1.4-r0.apk                                 25-Oct-2024 20:13    6986
xload-doc-1.1.4-r0.apk                             25-Oct-2024 20:13    3283
xmag-1.0.8-r0.apk                                  25-Oct-2024 20:13     17K
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 20:13    4856
xml2rfc-3.28.1-r3.apk                              18-Dec-2025 11:06    352K
xml2rfc-pyc-3.28.1-r3.apk                          18-Dec-2025 11:06    408K
xmoto-0.6.3-r0.apk                                 03-Oct-2025 17:02      2M
xmoto-data-0.6.3-r0.apk                            03-Oct-2025 17:02     37M
xmoto-doc-0.6.3-r0.apk                             03-Oct-2025 17:02    6044
xmoto-lang-0.6.3-r0.apk                            03-Oct-2025 17:02    532K
xmp-4.2.0-r0.apk                                   25-Oct-2024 20:13     22K
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 20:13    5445
xmpp-dns-0.2.4-r29.apk                             04-Dec-2025 14:22      2M
xmppipe-0.16.0-r1.apk                              25-Oct-2024 20:13     16K
xone-src-0.5.1-r0.apk                              22-Dec-2025 16:40     58K
xonsh-0.19.9-r0.apk                                31-Oct-2025 14:11    589K
xonsh-pyc-0.19.9-r0.apk                            31-Oct-2025 14:11      1M
xosview-1.24-r0.apk                                25-Oct-2024 20:13    144K
xosview-doc-1.24-r0.apk                            25-Oct-2024 20:13     13K
xpar-0.7-r0.apk                                    27-Sep-2025 14:33     27K
xpar-doc-0.7-r0.apk                                27-Sep-2025 14:33    4436
xsane-0.999-r2.apk                                 25-Oct-2024 20:13      1M
xsane-doc-0.999-r2.apk                             25-Oct-2024 20:13    4414
xsane-lang-0.999-r2.apk                            25-Oct-2024 20:13    440K
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 20:13     65K
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 20:13     18K
xsoldier-1.8-r2.apk                                25-Oct-2024 20:13     67K
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 20:13    2683
xtensor-0.27.0-r0.apk                              25-Aug-2025 06:41    270K
xtl-0.8.1-r0.apk                                   27-Oct-2025 13:41     90K
xva-img-1.5-r0.apk                                 25-Oct-2024 20:13     18K
xvidtune-1.0.4-r0.apk                              25-Oct-2024 20:13     17K
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 20:13    4274
xvile-9.8z_p1-r2.apk                               06-Oct-2025 10:56    793K
xwayland-satellite-0.7-r0.apk                      30-Aug-2025 22:13    979K
yamkix-0.14.0-r0.apk                               22-Dec-2025 19:55     17K
yamkix-pyc-0.14.0-r0.apk                           22-Dec-2025 19:55     20K
yaml-language-server-1.19.2-r0.apk                 08-Oct-2025 21:53    666K
yaml-language-server-doc-1.19.2-r0.apk             08-Oct-2025 21:53    2338
yamldiff-0.3.0-r3.apk                              04-Dec-2025 14:22      2M
yamldiff-doc-0.3.0-r3.apk                          04-Dec-2025 14:22    2306
yarn-berry-4.9.1-r0.apk                            15-Apr-2025 21:57      1M
yaru-common-25.10.3-r0.apk                         06-Jan-2026 05:46    1301
yaru-gtksourceview-25.10.3-r0.apk                  06-Jan-2026 05:46    5110
yaru-icon-theme-25.10.3-r0.apk                     06-Jan-2026 05:46     35M
yaru-icon-theme-bark-25.10.3-r0.apk                06-Jan-2026 05:46      1M
yaru-icon-theme-blue-25.10.3-r0.apk                06-Jan-2026 05:46      1M
yaru-icon-theme-magenta-25.10.3-r0.apk             06-Jan-2026 05:46      1M
yaru-icon-theme-mate-25.10.3-r0.apk                06-Jan-2026 05:46      1M
yaru-icon-theme-olive-25.10.3-r0.apk               06-Jan-2026 05:46      1M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk       06-Jan-2026 05:46      1M
yaru-icon-theme-purple-25.10.3-r0.apk              06-Jan-2026 05:46      1M
yaru-icon-theme-red-25.10.3-r0.apk                 06-Jan-2026 05:46      1M
yaru-icon-theme-sage-25.10.3-r0.apk                06-Jan-2026 05:46      1M
yaru-icon-theme-viridian-25.10.3-r0.apk            06-Jan-2026 05:46      1M
yaru-icon-theme-wartybrown-25.10.3-r0.apk          06-Jan-2026 05:46      1M
yaru-icon-theme-yellow-25.10.3-r0.apk              06-Jan-2026 05:46      1M
yaru-schemas-25.10.3-r0.apk                        06-Jan-2026 05:46    1896
yaru-shell-25.10.3-r0.apk                          06-Jan-2026 05:46    232K
yaru-sounds-25.10.3-r0.apk                         06-Jan-2026 05:46      1M
yaru-theme-25.10.3-r0.apk                          06-Jan-2026 05:46    840K
yaru-theme-bark-25.10.3-r0.apk                     06-Jan-2026 05:46    763K
yaru-theme-blue-25.10.3-r0.apk                     06-Jan-2026 05:46    766K
yaru-theme-hdpi-25.10.3-r0.apk                     06-Jan-2026 05:46     73K
yaru-theme-magenta-25.10.3-r0.apk                  06-Jan-2026 05:46    762K
yaru-theme-mate-25.10.3-r0.apk                     06-Jan-2026 05:46    772K
yaru-theme-olive-25.10.3-r0.apk                    06-Jan-2026 05:46    759K
yaru-theme-prussiangreen-25.10.3-r0.apk            06-Jan-2026 05:46    760K
yaru-theme-purple-25.10.3-r0.apk                   06-Jan-2026 05:46    757K
yaru-theme-red-25.10.3-r0.apk                      06-Jan-2026 05:46    760K
yaru-theme-sage-25.10.3-r0.apk                     06-Jan-2026 05:46    763K
yaru-theme-viridian-25.10.3-r0.apk                 06-Jan-2026 05:46    760K
yaru-theme-wartybrown-25.10.3-r0.apk               06-Jan-2026 05:46    764K
yaru-theme-yellow-25.10.3-r0.apk                   06-Jan-2026 05:46    766K
ydcv-0.7-r8.apk                                    25-Oct-2024 20:13     20K
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 20:13     11K
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 20:13    2205
yices2-2.6.5-r0.apk                                02-Mar-2025 23:05      2M
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:05     41K
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:05    880K
yoshimi-2.3.3.3-r0.apk                             13-Mar-2025 22:33      6M
yoshimi-doc-2.3.3.3-r0.apk                         13-Mar-2025 22:33      5M
yosys-0.57-r0.apk                                  24-Oct-2025 21:51     25M
yosys-dev-0.57-r0.apk                              24-Oct-2025 21:51    277K
youki-0.5.7-r0.apk                                 05-Jan-2026 20:12      2M
youki-dbg-0.5.7-r0.apk                             05-Jan-2026 20:12      8M
youtube-viewer-3.11.6-r0.apk                       09-Jun-2025 05:05     84K
youtube-viewer-doc-3.11.6-r0.apk                   09-Jun-2025 05:05     41K
youtube-viewer-gtk-3.11.6-r0.apk                   09-Jun-2025 05:05    172K
ytmdl-2024.08.15.1-r1.apk                          14-May-2025 18:17     50K
ytmdl-bash-completion-2024.08.15.1-r1.apk          14-May-2025 18:17    2325
ytmdl-pyc-2024.08.15.1-r1.apk                      14-May-2025 18:17     78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk           14-May-2025 18:17    2165
yubikey-agent-0.1.6-r17.apk                        04-Dec-2025 14:22      2M
z-1.12-r0.apk                                      25-Oct-2024 20:13    4717
z-doc-1.12-r0.apk                                  25-Oct-2024 20:13    4011
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 20:13     19M
zapzap-6.0.1.8-r0.apk                              13-Apr-2025 23:48    109K
zapzap-pyc-6.0.1.8-r0.apk                          13-Apr-2025 23:48    126K
zarchive-0.1.2-r2.apk                              25-Oct-2024 20:13     17K
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 20:13    6920
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 20:13     26K
zfs-src-2.4.0-r0.apk                               19-Dec-2025 08:50     33M
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 20:13    128K
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 20:13     16K
zile-2.6.2-r1.apk                                  25-Oct-2024 20:13    120K
zile-doc-2.6.2-r1.apk                              25-Oct-2024 20:13     16K
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 20:13     32K
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 20:13    5362
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16     21K
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16    3332
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16    4137
zpaq-7.15-r0.apk                                   09-Oct-2025 03:51    206K
zpaq-doc-7.15-r0.apk                               09-Oct-2025 03:51     16K
zrepl-0.6.1-r16.apk                                04-Dec-2025 14:22      7M
zrepl-bash-completion-0.6.1-r16.apk                04-Dec-2025 14:22    4581
zrepl-openrc-0.6.1-r16.apk                         04-Dec-2025 14:22    1765
zrepl-zsh-completion-0.6.1-r16.apk                 04-Dec-2025 14:22    1994
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 20:13    746K
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 20:13    2935
zvbi-0.2.44-r0.apk                                 11-Mar-2025 20:28    170K
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 20:28     21K
zycore-1.5.0-r1.apk                                11-Jul-2025 04:50     22K
zycore-dev-1.5.0-r1.apk                            11-Jul-2025 04:50     37K
zycore-doc-1.5.0-r1.apk                            11-Jul-2025 04:50    433K
zydis-4.1.0-r0.apk                                 25-Oct-2024 20:13    205K
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 20:13     61K
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 20:13      2M